数字逻辑总结

2024-09-14

数字逻辑总结(精选7篇)

1.数字逻辑总结 篇一

数字逻辑实验感想

本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。

首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。

回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。

不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

2.数字逻辑总结 篇二

“数字逻辑与数字系统”课程是计算机科学与技术专业及相关专业的基础核心课程[1], 教育部在各类相关的教学大纲中均规定为必开课程。它是计算机科学与技术专业的硬件知识基础, 为计算机组成原理、计算机体系结构、单片机、嵌入式等后续课做基石。本课程不仅要学理论, 又要动手做实验, 还有课程设计, 各环节要环环相扣。本文只讨论理论授课方面。

在理论授课方面首要问题就是教材的选择, 结合学院办学定位、专业要求及学生的层次等诸多方面, 挑选一本恰当的教材, 具有事半功倍的效果。我院选定的是自编教材———沙丽杰主编中国电力出版社出版的《数字逻辑与数字系统》。教材选定后剩下的说道一下教的艺术。

1 注重学生逻辑思维的培养

数字电路与模拟电路最大的不同在于输入输出之间不是普通的函数关系, 而是逻辑因果关系。数字电路中多采用二进制‘0’和‘1’来描述信息, “0”和“1”表示的是逻辑“真”和“假”, 或事物的两个不同的状态, 它没有“数”的多和少的概念。例如电子开关中的“导通”和“关断”, 灯的“亮”和“灭”都分别用逻辑“1”和逻辑“0”表示。当事物存在多于两种状态时就需用多位逻辑量表示, 如十字路口交通灯的四种常见状态: (1) 主通道绿灯亮, 支通道红灯亮; (2) 主通道黄灯亮, 支通道红灯亮; (3) 主通道红灯亮, 支通道绿灯亮; (4) 主通道红灯亮, 支通道黄灯亮。可以用两位逻辑量表示: (1) 00; (2) 01; (3) 10; (4) 11。这里的两位逻辑量就是状态的代码/编码, 这和国共时期打入敌人内部的人员代号“不死鸟”、“飞鹰”、“007”等是一个作用。“不死鸟”和“飞鹰”是文字代码或字符代码, “007”是数字代码或数字编码, 在现实生活中人们熟悉的是十进制代码, 而数字电路中用的最多的是二进制代码。所以要想将一个现实问题转化为数字逻辑问题, 首要的一件事就是将实际问题用二进制逻辑代码表示, 再根据输入输出间的因果关系列出其满足的逻辑运算表达式。注重学生逻辑思维的培养是提高学生自行设计数字逻辑电路的基础。

2 用口诀简化记忆

在本课的教学过程中会遇到许多需要记忆的逻辑代数公式和表 (如触发器的激励表) , 学生常常要为记忆这些东西而发愁。而用口诀记忆帮助学生记忆是一个效果显著的做法。如根据真值表可得出“与”运算的规律为“有0得0, 无0得1”, “或”运算的规律是“有1得1, 无1得0”;对JK触发器的功能表如表1。

J可看做“置1端”, 高有效, K可看做“置0端”高有效, 功能表第一行JK=00, 表示输入端无有效输入, 触发器状态不变, 即保持的功能;功能表第二行JK=01, 表置0端有效置1端无效, 触发器次态变为0状态, 即置0的功能;功能表第三行JK=10, 表置0端无效置1端有效, 触发器次态变为1状态, 即置1的功能;功能表第四行JK=11, 表置0端有效且置1端亦有效, 此时, 触发器的次态既不是确定的0状态也不是确定的1状态, 而是由原来的状态决定, 变为原来状态的反状态, 即为翻转的功能。上述也可用“一山容不得二虎”来调侃, 加深学生的印象。通过这么一解释相信学生会很容易记住JK触发器的功能表, 而JK触发器的特征方程和状态图、波形图等都可由功能表推出故无需逐一记忆。

这种“寓教于乐”的教学方法可使学生产生兴趣, 同时也可激发和鼓励他们对一些公式、定理等编造适合自己习惯的口诀来帮助记忆。实践证明, “寓教于乐”可使学生学起来感到轻松愉悦, 受益匪浅。

3 在讲授综合知识的应用时, 更要注重启发式教学法[2]的运用

教材中一些综合知识的应用是难点, 在讲解难点时, 要层层剖析、提示, 让学生在已有知识的基础上想到解决问题的办法或思路, 讲完后要让学生有一种运用所学知识解决问题后的喜悦, 并让其渐渐养成碰到问题后不退缩独立地主动地分析问题的意识。

例如, 触发器这一知识块的讲解, 先讲最简单的基本SR触发器, 这一部分一定要讲清楚讲明白, 再在此基础上讲解D触发器、JK触发器等!具体分析如下, 先让学生观察两个与非门组成的基本SR触发器的电路结构, 并回答其与组合逻辑电路的不同之处, 再在教师的引导下利用已学过的与、或、非基本逻辑运算分析并让学生回答SR触发器在不同输入下的输出, 最后由教师对SR触发器的功能进行总结并用功能表、卡诺图、特征方程、状态图等进行逻辑描述。只要学生掌握了基本SR触发器的分析方法, 那么此后的钟控SR触发器、D触发器、JK触发器等便不成问题。如钟控SR触发器、钟控D触发器, 同样, 先让学生观察其电路结构, 之后让其回答电路中哪些部分是熟悉的已学过的, 哪些是新加的, 如何利用已学过的内容简化分析新知识, 并在此基础上进一步探究结构的不同带来的功能的不同, 以及与SR触发器相比它们的优点。

再如, 利用集成器件实现逻辑函数这一部分。在对组合逻辑电路和时序逻辑电路的设计中, 全是采用真值表或状态表来推导出相应逻辑表达式, 化简, 并根据化简后的逻辑表达式绘制出由基本逻辑单元 (与门、或门、与非门、触发器等) 实现的电路图。而利用集成器件的设计是在已有集成电路功能的基础上, 外加一些门电路实现所需功能。在学生习惯了利用一般方法从无到有地实现组合逻辑电路或时序逻辑电路时, 转而利用中规模集成器件进行设计对他们来说在一段时间内是一个不小的挑战, 且设计时灵活多变, 有时需要靠经验来实现, 这就更增加了这部分的学习难度。那么如何化解这一难点呢, 本人做法如下, 首先讲清楚利用集成器件实现设计的特点:集成器件的功能不可变, 我们对它只可利用不可改变, 我们可以改变的只是其外围电路的设计。之后, 由简入繁地利用大量例题来形象化这一设计过程。如先利用全加器实现多位二进制加法器, 再利用集成加法器74LS283实现将8421BCD转换成余3码的电路, 最后利用74LS283实现一个1位的8421BCD码的十进制加法器, 要求输入和输出均是BCD码。

下面以使用四位二进制比较器74LS85和必要的门电路设计输血指示器[3]为例描述讲解过程。输血配对图如图1所示, 用AB表示供血者代码, CD表示受血者代码, 代码设定见表1, 用F表示输出函数, 并用F=1表示可输血, 用F=0表示不可输血。则根据:

图1得真值表如表3。

由真值表得卡诺图如图2。

由卡诺图知, 令输出为1的输入组合可归纳为三种情况: (1) AB=00; (2) AB=CD; (3) CD=10。

故输出表达式为情况 (2) 可由集成比较器74LS85实现, 另外两种情况由外加门电路实现, 电路图如图3。

在本例中, 要利用到74LS85就必须想到通过变量间的比较来描述可输血的的某种情况。集成器件74LS85的功能是固定的, 咱们只能将可输血的情况向它靠拢, 由它实现, 而不是改变74LS85的结构或功能来实现输血指示器。

4 结语

教学方法直接影响到学生的学习方法, 在教学过程中引导学生掌握正确的学习方法, 培养它们的自学和主动自学的能力, 授之以鱼不如授之以渔, 本人觉得这才是是教学中的重中之重。

摘要:本文针对三本工科学生基础差、学习积极性不高的实际, 结合多年教学经验, 阐述了灵活地采用多种教学方法培养学生的学习兴趣, 更要注重培养学生自学能力的观点。

关键词:教学研究,数字逻辑,逻辑思维,启发式教学

参考文献

[1]朱怀宏.“数字逻辑与数字系统”课程教学理念[J].计算机教育, 2006 (10) :83-85.

[2]韩天荣.“数字逻辑与数字系统”实践教学改革初探[J].集宁师专学报, 2010, 32 (4) :8-10.

3.数字化传播的商业逻辑 篇三

NBC与YouTube合作的细节是不可能全盘公布的,从已知的细节看,YouTube每天号称40亿的流量以及卓绝的流媒体播放技术让它锐不可当。数据表明,YouTube的流量中有30%来自美国,nbcolympics.com愁得就是自己很难平地起风雷,用户增长缓慢,因此在奥运会期间,YouTube主页上将有奥运内容指引,受众点击之后,也就去了nbcolympics.com,在那里,看到的流媒体播放器风格与YouTube毫无二致,双方礼尚往来,貌似双赢。有一个数据我总是将信将疑,英国伦敦奥运会电视转播观众的平均年龄是46岁,严重老化。我想美国也年轻不到哪儿去,有了YouTube,至少可以让奥运会的受众年轻化一些。NBC的战略也是如此规划的。在他们看来,2012年任何数字化传播策略都必须包含社交媒体的权重,否则会一败涂地。

再说一条3个月前的旧闻吧,源自伦敦,说的是APPLE和GOOGLE两大巨擘将加入到2013~2016年英超最新周期版权的竞逐中。虽然,新闻标题最终还是要点一个“?”,但是只要看到巨擘们在自己的品牌背后加上一个“TV”,至少我已经信了,未来的版权博弈大局中,少不了它们。

遥想20年前,默多克撬动英国付费电视市场时,美国大片策略迟迟不能见效,没有些本土化的资源看来无以制胜啊。结果,3亿英镑签署的第一份英超垄断性电视转播合同,让BSKYB与新生的英超联赛一起高飞。时代巨变,BSKYB持有英超资源依然决绝,版权价格一路飞涨,咬牙坚持着,不愿让竞争对手有任何染指的机会。当一份新的合同出现在地平线上时,BSKYB当然发现,竞争对手已不再仅仅是BBC、ITV和ESPN,拥有更丰沛资金、未来技术与用户依赖的APPLE和GOOGLE一路掩杀过来了,其势凶猛。

先说GOOGLE,觊觎英伦市场已久,目前正在联络多家电视制造厂商,争取尽快推出属于自己的TV,抢占英国人的客厅,而并非仅仅局限在电脑和移动终端,未来一年每个英国人都能感知到GOOGLE对于自己传统生活的进犯。APPLE苦心经营的TV产品早就不仅仅是个说给世界听的传说了。两大巨擘一旦正式推出TV产品,那一定要给公众一个充足的理由来购买,在英伦市场上,还有比英超更好的理由吗?默多克的打法20年后依然有着强劲的商业逻辑,只是昔日的主角是不是该退场了?

GOOGLE在掩杀至伦敦之前,早有了些得意之作。其旗下的YouTube买下印度超级板球联赛(IPL)的新媒体版权,千万别小看这个栖身南亚的板球联赛,它在英联邦世界里的地位就相当于英超之于世界球迷。在美国市场上,GOOGLE TV已经开始提供NHL职业冰球联赛视频回放、数据查询以及文字直播等多项周到的服务了。APPLE虽然还没有具体战例,但是一个凭借着iTunes改变音乐工业的公司,要想改变传统电视业态很难吗?有了强大的新媒体合作伙伴,无论是职业联赛、俱乐部还是赞助商都找到了新的传播形态。FACEBOOK与英国足总杯赛的赞助商百威啤酒合作,将一场足总杯赛预赛阿斯科特联队与温布利队的较量独家呈现在百威啤酒FACEBOOK主页上,这当然算是一个革命化的传播范例。号称英超最富有的俱乐部—曼城队在GOOGLE TV上有一项不错的应用,曼城球迷可以通过这项应用在电视上看到来自俱乐部的大量独家报道,如果日后每家英超俱乐部都有了这项应用,那么传统电视必然遭受强力冲击,分流将成必然。俱乐部也乐于在新应用中,更好地控制内容本身,并且精准地找寻自己的支持者,为他们提供更为周到的服务,当然广告传播也愈加精准。

有了新媒体的支撑,英超俱乐部似乎也找到了与英超联盟与BSKYB博弈的新武器,豪门早就呼吁放开海外版权市场,让它们可以自我经营。而始终坚持联赛统一经营的联盟自然不会接受,只好不断地提升版权价格,转嫁压力给了电视机构。正在执行的版权周期,BSKYB以及全球电视转播商贡献巨大,价格有不可遏制的危险。理论上,GOOGLE和APPLE都不缺钱,尤其是在争取战略性资源方面,它们绝对是不会吝惜的,而英超联盟也需要新的增长点,几种因素叠加在一起,新媒体以及全媒体必将是下一个最大的买家,它们改变的不仅仅是版权价格,而是未来世界对于一项运动的分享方式。对此,大势难拗,鼓掌欢迎。

4.数字逻辑与数字系统设计教学大纲 篇四

“卓越工程师教育培养计划”试点课程教学大纲

“数字逻辑与数字系统设计”教学大纲

课程编号:OE2121017 课程名称:数字逻辑与数字系统设计

英文名称: Digital Logic and Digital

System Design 学

时:60

分:4 课程类型:必修

课程性质:专业基础课 适用专业:电子信息与通信工程(大类)

开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院

一、课程的教学任务与目标

数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。

要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA设计工具,培养学生设计较大规模的数字电路系统的能力。

本课程教学特点和主要目的:

(1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。

(2)使学生掌握经典的数字逻辑电路的基本概念和设计方法;(3)掌握当今EDA工具设计数字电路的方法。

(4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

过本课和实验教学, 使学生掌握新的数字系统设计技术.虽然现代设计人员已经很少使用传统的设计技术,但传统的设计可以让学生直观地了解数字电路是如何工作的,并可以为EDA设计工具所进行的操作提供说明,让学生进一步了解自动化设计技术的优点。

成功的逻辑电路设计人员必须深入理解数字逻辑设计相关的基本概念,并熟练掌握EDA设计工具的使用。

二、本课程与其它课程的联系和分工

数字逻辑与数字系统设计主要讨论集成电路器件的外部特性,对门电路内部晶体管的工作原理及状态转换只作定性了解。

数字逻辑与数字系统设计在学科基础中的地位既要体现作为一门课程的完整性和电子线路体系结构的特点,也要体现为后续课程服务的目的。后续的专业课程如计算机组成原理,微机原理、接口技术等都是数字电路系统高度集成的体现。数字电路与系统设计为微处理器与系统设计、嵌入式系统、数字通信等后续课程进行了基础知识准备。

三、课程内容及基本要求

(一)数制与编码(建议3学时)学习数制表示方法和常用编码 1.基本要求

(1)掌握常用数制(2、8、10、16进制数)的表示方法与相互转换方法

(2)掌握常用编码(842BCD码、5421BCD码、余3码、格雷码等)的表示方法 2.重点、难点

重点:二进制,十六进制 难点:格雷码的掌握

3.说明:主要掌握常用编码的表示方法

(二)逻辑代数与逻辑函数化简(建议10学时)

学习逻辑代数的基本运算及函数表示方式,了解逻辑函数的化简方法;学习硬件描述语言(HDL)描述逻辑函数的基本结构,熟悉逻辑函数与HDL之间的对应关系。1.基本要求

(1)熟练掌握基本逻辑运算与逻辑门

(2)了解逻辑代数的基本定理、法则和主要公式,了解逻辑函数代数化简法(3)掌握逻辑函数的标准表达式和常用的五种表达式及相互转换方法(4)能够用HDL描述真值表,熟悉逻辑函数的HDL表达方式(5)熟悉逻辑函数的卡诺图化简法

(6)掌握包含无关项逻辑函数的表示方法及化简方法 2.重点、难点

重点:逻辑函数的两种标准表示形式以及HDL表达方式 难点:五种表达式之间的相互转化 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

3.说明:5个变量以上的逻辑函数化简不作要求

(三)组合逻辑电路(建议10学时)

学习组合逻辑电路的分析方法和设计方法,学习组合逻辑的HDL描述方式 1.基本要求

(1)掌握组合电路的分析方法和设计方法

(2)熟悉组合逻辑电路的HDL设计方法,掌握设计流程图的绘制方法

(3)熟悉常用MSI组合逻辑部件(变量译码器、数据选择器)的逻辑功能,扩展方法及应用

(4)掌握译码器、数据选择器的HDL描述方式

(5)掌握由MSI器件构成组合电路的设计方法和分析方法(6)了解组合电路的竞争冒险现象及消除方法 2.重点、难点

重点:由门电路进行组合电路的设计 难点:中规模集成电路芯片应用

3.说明: 安排组合逻辑研究实验

(四)触发器(建议6学时)

学习触发器的工作原理和功能描述方法,学习触发器的HDL描述方法 1.基本要求

(1)掌握基本RS触发器及常用沿触发的(D、T、JK)触发器的逻辑功能及其描述方法(2)触发器的HDL描述方法(包括行为级描述和结构化描述)(3)熟悉常用集成触发器的逻辑符号及时序图的画法(4)掌握触发器的HDL描述方法中的沿触发与电平触发 2.重点、难点

重点: 触发器的多种描述方法

难点:触发器电路的HDL描述及时序波形

3.说明:触发器部分要求记忆逻辑符号掌握逻辑功能,对触发器内部电路不做要求,安排集成触发器实验。

(五)时序逻辑电路(建议16学时)

学习同步时序电路的分析方法和典型同步时序电路的设计方法,时序电路的HDL描述。1.基本要求

(1)掌握同步时序电路的分析方法,要求根据电路能正确列出状态表,画出状态及时序图并分析其功能

(2)了解同步时序电路的一般设计方法和步骤,掌握给定状态同步时序电路的设计方法

(3)掌握时序电路的HDL描述方法 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)掌握典型MSI时序逻辑部件(74LS161、74LS194)的逻辑功能,扩展方法及应用(5)学习状态机的HDL描述方法,并掌握复杂时序逻辑电路的HDL描述方法(6)掌握以MSI为主的典型同步时序电路的分析方法与设计方法:

任意模值计数器;移位型计数器;序列码发生器(7)掌握典型时序电路的HDL描述方法

(8)了解异步时序电路的主要特点

2.重点、难点

重点:电路自启动自校正的设计;MSI时序逻辑部件的逻辑功能及应用 难点:时序逻辑点状态机HDL描述

3.说明:学习这一章后,要求能看懂器件手册,安排计数器和移位寄存器应用实验。

(六)集成逻辑门(建议3学时)1.基本要求

(1)了解典型TTL与非门的基本工作原理,掌握其主要外特性和参数(2)掌握集电极开路门和三态门的主要特点

(3)掌握MOS逻辑门(以CMOS为主)的主要特点和使用方法 2.重点、难点

重点:TTL与非门的主要外特性和参数 难点:集电极开路门

(七)脉冲波形的产生与整形(建议3学时)了解脉冲电路的分析方法 1.基本要求

(1)了解典型脉冲电路(单稳、多谐、施密特触发器)的基本特点及脉冲电路的分析方法

(2)掌握555定时器的基本工作原理及典型应用

(3)掌握晶体振荡器,施密特单稳集成电路的基本原理及使用方法。2.重点、难点 重点: 555定时器

难点:振荡电路性能提高需要考虑的因素 3.说明:安排脉冲电路的产生和整形实验。

(八)存贮器及可编程器件(建议4学时)1.基本要求

(1)掌握ROM的基本工作原理和几种不同的编程方法(2)了解静态RAM和动态RAM的基本工作原理

(3)了解可编程器件的内部结构特点, 可用资源, 主要参数和选型依据 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)结合实验, 逐步掌握FPGA的仿真与设计技术 2.重点、难点

重点:ROM的基本工作原理, FPGA的仿真与设计技术 难点:ROM实现组成逻辑函数, FPGA的仿真与设计技术

(九)D/A和A/D(建议1学时)1.基本要求

(1)了解D/A和A/D转换器的基本原理和主要技术指标(2)了解典型集成D/A和A/D芯片的特点 2.重点、难点

重点:D/A和A/D转换器的主要技术指标 难点:D/A和A/D转换器的基本原理

四、布置大作业

综合设计(1)-----用VHDL设计一数字频率计(结合实验在FPGA上实现)综合设计(2)-----用VHDL设计一DDS信号发生器(结合实验在FPGA上实现)系统设计完成通过EDA软件仿真后,在FPGA系统上实现验证,期间安排两次讨论。第一次是设计方案评审和讲评,第二次的实现结果报告和讲评。

五、教学安排及方式

总学时 60 学时,讲课 56 学时。讨论4学时。实验单独开课,大作业采用开放式实验方式利用课外时间进行。

六、考核方式

1.期末笔试(以闭卷考试为主,也可开卷考试或半开卷半闭卷考试)占60% , 2.大作业----综合设计占30%, 3.平时成绩占10%.七、推荐教材与参考资料

教材:

(1)新编: 任爱锋, 孙万蓉, 周端等

(2)杨颂华等 数字电子技术基础 西安 西安电子科技大学出版社 2009 参考书:

(1)夏宇闻等译 数字逻辑基础与Verilog设计 机械工业出版社

(2)John F.Wakerly 数字设计——原理与实践(第三版 影印版)高等教育出版社

西安电子科技大学

5.数字逻辑电路小论文 篇五

摘要:随着数字逻辑技术的发展,数字逻辑电路也逐步应用于我们生活的方方面面。在数字机顶盒,数字电冰箱,数字洗衣机等领域均有所体现。本文将大体介绍数字逻辑电路的发展历程、分类方法、数值、用途与特点,最后详细介绍数字逻辑电路的实际应用。

一.

数字电路的发展历程与分类方法

数字电路的发展:数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字逻辑电路分类:

1、按功能来分:

(1)组合逻辑电路:简称组合电路,它由最基本的的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。

(2)时序逻辑电路:简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时序电路的特点是:输出不仅取决于当时的输入值,而且还与电路过去的状态有关。它类似于含储能元件的电感或电容的电路,如触发器、锁存器、计数器、移位寄存器、储存器等电路都是时序电路的典型器件。

2、按电路有无集成元器件来

可分为分立元件数字电路和集成数字电路。

3、按集成电路的集成度进行分类 可分为小规模集成数字电路(SSI)、中规模集成数字电路(MSI)、大规模集成数字电路(LSI)和超大规模集成数字电路(VLSI)。

4、按构成电路的半导体器件来分类 可分为双极型数字电路和单极型数字电路。

二.数字逻辑电路的用途和特点

数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。

这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。

由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。

数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是 TTL 电路还是 CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。

数字电路中有关信息是包含在 0 和 1 的数字组合内的,所以只要电路能明显地区分开 0 和 1,0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。

三.数字电路的数制

在我们的日常生活中常用的进制主要是十进制(因为我们有十个手指,所以十进制是比较合理的选择,用手指可以表示十个数字,0的概念直到很久以后才出现,所以是1-10而不是0-9)。例如:在早期设计的机械计算装置中,使用的不是二进制,而是十进制或者其他进制,利用齿轮的不同位置表示不同的数值,这种计算装置可能更加接近人类的思想方式。比如说一个计算设备有十个齿轮,它们级连起来,每一个齿轮有十格,小齿轮转一圈大齿轮走一格。这就是一个简单的十位十进制的数据表示设备了,可以表示0到999999999的数字。配合其他的一些机械设备,这样一个简单的基于齿轮的装置就可以实现简单的十进制加减法了。而在如今的信息化、数字社会,十进制不能满足人们的使用要求,从而出现了不同的进制,如我们常说的二进制、八进制、十六进制等

二进制是计算技术中广泛采用的一种数制。计算机运算基础采用二进制。电脑的基础是二进制,电子计算机出现以后,使用电子管来表示十种状态过于复杂,所以所有的电子计算机中只有两种基本的状态,开和关。也就是说,电子管的两种状态决定了以电子管为基础的电子计算机采用二进制来表示数字和数据。这种通过不同的位置上面不同的符号表示数值的方法就是进制表示方法。一个字是电脑中的基本存储单元,根据计算机字长的不同,字具有不同的位数,现代电脑的字长一般是32位的,也就是说,一个字的位数是32。字节是8位的数据单元,一个字节可以表示0-255的数据。对于32位字长的现代电脑,一个字等于4个字节,对于早期的16位的电脑,一个字等于2个字节。八进制的数较二进制的数书写方便,常应用在电子计算机的计算中。十六进制常用在单片机的编程里。数制应用领域范围之广,一时难以一一举例,未来的世界数字化,期待着。

四、数字逻辑设计在生活中的应用

随着数字机顶盒、数字高清电视和液晶平板电视的迅猛发展,日常消费电子产品中的数字视频解调接收器和视频图像处理信号接收前端的重要模块-模数转换器的应用越来越广泛,而且随着整机产品的功能和性能要求越来越高,功耗低面积小的数字视频片上系统(SoC)单芯片已经成为10位分辨率、多通道模数转换器的主要应用芯片,例如3通道采集RGB和YUV信号的视频模拟前端。但是由于片上系统单芯片集成了大量的模拟电路和数字逻辑电路,内部时钟频率也非常高,因此导致电路噪声偏大,影响模数转换器的性能。如何使模数转换器既拥有较强的抗干扰能力,同时达到低功耗的要求,越来越成为模拟集成电路研究的热点和难点。论文主要研究了兼容0.18um 1.8V标准数字逻辑CMOS工艺应用于数字视频领域的流水线模数转换器,通过matlab的分析和优化,建立了系统级的设计框架,然后根据系统需求的采样率、输出分辨率等要求计算出影响模数转换器性能参数的限制指标。再根据工艺参数和EDA工具设计出了晶体管级电路并进行了全电路性能仿真。最后设计了整个模数转换器和测试芯片的布局及版图,完成了整个芯片设计的全部流程。期间主要的研究成果和工作有以下几个方面:(1)一般流水线模数转换器常用的动态比较器需要从外部输入参考源与输入信号进行比较然后输出数字域的结果,而本文提出的无输入参考源动态比较器不需要在比较器之外引入参考源而是利用比较器输入对管的差别产生比较阈值,这样减轻了参考源驱动电路的负载,排除了外部电路对动态比较器组成的子模数转换器模块的干扰,另外减少了外部引入参考源走线的数量进而减小了硅面积。(2)论文设计的低功耗高速模数转换器使用了1.8V电源,为了减少开关电容电路采样的信号失真,提出了一种新型的开关栅增压电路,使得信号开关器件的栅电压与输入信号无关,保持开关的导通电阻是常数,而且在增压传输路径中的开关导通电阻也与信号无关,从而降低了信号的谐波失真,提高了电路的动态范围。此外,所有的NMOS开关电路的衬底始终接在电路的最低电平上,这样就使该电路可以在普通的0.18um 1.8V数字标准逻辑CMOS工艺上实现,从而降低了芯片制造成本。(3)虽然流水线模数转换器的冗余位数字校正(RSD)能够消除一定的误差,但是在低电压应用中,由于信号输入幅度相对较高,因此冗佘校正后的误差仍较大,为了保证整个模数转换器依然有良好的线性度和良好的信噪比,论文提出了内插冗余校正技术。该技术的原理是:由于根据系统定义的噪声限制指标和制造工厂提供的工艺匹配参数可以计算出第i级之后插入一级冗余校正级。因此内插冗余校正级可以把第i级的输出大于正常输入范围数倍之内的信号做为输入(主要是第1级到第i级累积的误差并被MDAC电路放大引起的)然后输出时校正到后级能接受的正常输入范围,这样就可以避免最终模数转换器输出钳位和饱和引起整个ADC的线性度和动态范围的下降。(4)为了兼容标准数字逻辑工艺,MDAC中没有使用线性度较高的MiM电容,而是选择了三明治式金属层间电容(stack capacitor),这需要通过仔细提取金属层间电容的寄生参数以确保电容的线性度能保证整个ADC的性能。(5)为了优化电路的功耗和面积,论文设计的流水线模数转换器采用了运算放大器复用技术,这样可以让相邻的两个MDAC共用一个运算放大器,有效地降低了功耗和面积。论文进行了两次硅实验,实验一是使用0.5um 2层多晶硅3层金属CMOS混合信号工艺实现了1MHz采样的10位流水线模数转换器电路,验证满足静态参数特性和动态参数性能的设计方法;实验二是使用0.18um 1.8V单层多晶硅6层金属标准逻辑工艺实现了100MHz采样的10位流水线模数转换器。在模数转换器的测试方面主要设计了高速电路应用的PCB板和整个测试平台环境搭建。实验一和实验二的DNL分别为0.71 LSB和0.47LSB;INL分别为0.8LSB和0.55LSB;实现的有效位(ENOB)分别为9.7位(1MHz采样)和9.3位(100MHz采样);芯片面积分别为1.7mm~2和0.98mm~2;功耗分别为45mW和63mW,其中实验二的功耗优质因子(FOM)和面积优质因子(FOM_A)分别为0.995pJ.V/Sa和1.55e-11mm~2/Sa,这两个指标达到了近几年收录在JSSC和ISSCC等国际核心刊物的流水线模数转换器的研究成果,能够实现低功耗低硅面积数字视频及SoC嵌入式应用。

应用实例:

三路抢答器

图 1 是智力竞赛用的三路抢答器电路。裁判按下开关 SA4,触发器全部被置零,进入准备状态。这时 Q1 ~ Q3 均为 1,抢答灯不亮;门 1 和门 2 输出为 0,门 3 和门 4 组成的音频振荡器不振荡,扬声器无声。

竞赛开始,假定 1 号台抢先按下 SA1,触发器 C1 翻转成 Q1=1、Q1=0。于是: ① 门 2 输出为 1,振荡器振荡,扬声器发声; ②HL1 灯点亮; ③ 门 1 输出为 1,这时 2 号、3 号台再按开关也不起作用。裁判宣布竞赛结果后,再按一下 SA4,电路又进入准备状态。

彩灯追逐电路

6.数字化保护逻辑分析单元的研制 篇六

关键词:数字化保护逻辑分析单元,GOOSE,二次保护单元,保护逻辑记录单元,保护逻辑辅助分析单元

0 引言

目前,国内多个数字化变电站已经投入运行或开工建设。作为数字化变电站核心技术光电子电压互感器和电流互感器已经积累了一定的运行经验,高速网络通讯技术已经逐渐为国内主流二次设备生产厂家成熟掌握。过程层的合并单元,间隔层的二次保护、测量、控制单元,站控层的后台软件也已日益开发完善。

对于传统变电站的二次保护单元的动作行为,我们可以借用常规的测试仪就能分析其动作的正确性和及时性。对于数字化变电站中的二次保护单元[1],由于保护单元的跳合闸出口不是通过硬接线直接动作于开关进行跳合闸,而是通过GOOSE网发送遵循IEC61850标准的GOOSE报文通知相关的智能操作箱进行跳合闸。因此常规的测试方法很难了解二次保护单元的动作行为。

本文提出了一种用于数字化变电站中对二次保护单元进行保护逻辑分析单元[2,3],通过收集和分析GOOSE网的保护逻辑虚遥信,根据逻辑辅助分析单元配置工具下载的配置参数,采用故障录波的方式记录全站重要保护设备的保护动作虚遥信,波形分析软件还原记录的波形分析二次保护单元内部逻辑时序,验证二次保护单元动作是否正确和及时,相信能够对IEC61850的互操作带来帮助。

1 总体结构

保护逻辑分析单元采用全嵌入式系统设计,包括保护逻辑记录单元和保护逻辑辅助分析单元。保护逻辑记录单元是整个装置核心部分,采用PowerPC8270处理器结构。保护逻辑记录单元分为GOOSE模块、事件判别记录模块和数据通信模块。GOOSE模块负责监听和解析GOOSE报文,根据配置参数提取保护动作虚遥信和开关量实遥信。事件判别记录模块根据配置参数中的波形记录启动条件,实时跟踪GOOSE中的遥信变位信息,生成波形文件。数据通信模块负责与保护逻辑辅助分析单元进行信息交互如配置参数上传和下装,波形文件的上传等。保护逻辑辅助分析单元采用嵌入式INTEL Pentium II处理器结构。保护逻辑辅助分析单元分成数据通信模块、配置参数管理模块、波形回放和分析模块。数据通信模块与保护逻辑记录模块进行信息交换,波形回放和分析模块负责录波文件的打开和波形分析。配置参数管理模块处理配置参数的人机交互。保护逻辑分析单元的总体结构如图1所示。

2 保护逻辑记录单元的硬件设计

在数字化变电站中,当系统发生故障时,往往系统中多台保护装置内部逻辑启动甚至出口,因此GOOSE网的信息量会突然变得很大。为了能够全部准确捕获GOOSE报文,不仅要求网络带宽足够大,而且对保护逻辑记录单元的通信和数据处理能力提出了较高的要求。Power PC嵌入式处理器具有强大的网络通信和数据处理能力,丰富的外围设备接口,伸缩性好,使用灵活。本文采用了PowerPC8270处理器,具有700 MHz主频的浮点DSP处理能力,配置3个10/100 M自适应以太网口。为了能够可靠、长时间保存记录文件,采用双160 G SATA硬盘结构。为了能够连续记录保护逻辑整个过程,接入GPS同步对时信号。如图2。

3 保护逻辑记录单元的软件设计

保护逻辑记录单元软件平台基于嵌入式Linux系统,嵌入式Linux具有开发源代码,可修改可剪裁的优点,对于对成本和能耗极为敏感的嵌入式产品是十分重要的。软件设计采用模块化方式,程序采用C++编程,面向对象,层次功能清晰。

3.1 GOOSE[4~6]模块的软件设计

保护逻辑记录单元接入GOOSE网,原则上可以捕获所有保护控制单元的虚遥信(即保护控制单元启动变位信息和出口动作信息),虚遥信数据采用IEC61850-8标准,面向非MMS协议ISO/IEC8802-3帧格式的映射的GOOSE报文。GOOSE通信采用订阅/发布者机制,因此保护逻辑记录单元可以根据用户设定的配置参数全部或部分定制GOOSE报文,这样对保护控制单元的动作的正确性分析更具有针对性。为了保证GOOSE的可靠性和低延时性,在数据链路层采用了IEC802.1Q,在数据中增加了表示其优先级的内容。PowerPC8270采用以太网数据链路层硬件中断的方式读取GOOSE数据,并优先进行处理。软件流程如图3。

3.2 事件判别记录模块的软件设计

事件判别记录模块根据配置文件中的启动条件对保护对象的虚遥信(即保护逻辑动作情况)进行判别,当出现配置的虚遥信变位时,模块将启动记录波形功能,记录下变位时刻,并且根据配置文件提供的变位前和变位后时间和波形记录的速率对配置的记录对象的所有虚遥信进行记录。软件流程如图4。

3.3 数据通信模块的软件设计

数据通信模块完成与保护逻辑辅助分析单元配置参数的交互,波形文件的上传,同时支持第三方以FTP的方式从PowerPC8270管理的双硬盘中提取波形文件。

4 保护逻辑辅助分析单元的设计

保护逻辑辅助分析单元采用基于嵌入式INTEL Pentium II处理器的硬件平台,安装WindowXP操作系统,采用VC++开发工具对数据通信模块、配置参数交互模块、波形回放和分析模块进行软件开发。重点的开发是波形回放和分析模块,不仅要求该模块能够正确打开波形、标度时刻,而且要求该模块能够实现波形的缩放、对齐、保护动作延时的计算、动作逻辑和理性分析报告等功能。

5 实验分析

我们构建了一个用于保护两卷变压器的测试平台。需要的配备二次保护单元分别为主变主保护,高压侧后备保护,低压侧后备保护。测试仪输出交流电压、电流量给合并单元[7],合并单元同步采集后以IEC61850-9-1的形式送入主变主保护,高压侧后备保护,低压侧后备保护,模拟主变区内故障和区外故障,数字化保护逻辑分析单元通过采集GOOSE网的虚遥信,根据动作事件形成波形文件来分析保护动作的正确性。测试平台如图5。

以主变内部故障为例,差动电流大于比例差动启动电流定值而小于速断电流动作定值,则主变主保护比例差动逻辑动作,高低压侧保护逻辑闭锁。实验记录的波形如图6。

由图6清晰可见,当变压器发生内部故障时,对于主变主保护,由于故障电流大于比例差动保护定值而小于差动速断保护定值,差动速断保护逻辑不动作,比例差动保护逻辑启动,并且经过延时(比例差动保护动作延时定值)主变主保护跳闸出口。同时对于主变高、低压侧后备保护,发生故障时,由于电流突然增大,高、低压侧保护逻辑启动。但是由于是变压器内部故障,复合电压闭锁逻辑启动,因此高低压侧保护均未跳闸出口。图6说明上述相关保护的动作是正确的。可见通过保护动作逻辑波形图,我们可以很容易分析、验证保护装置动作逻辑是否准确可靠。

6 结论

本文介绍了一种数字化保护逻辑分析单元,用于在数字化变电站中分析二次保护单元保护逻辑动作行为,有助于用户透明地了解保护单元动作行为的灵敏性、及时性和准确性,给故障后分析事故原因带来极大的方便。

参考文献

[1]张延冬,焦彦军,张举.基于嵌入式系统的故障录波器设计[J].继电器,2005,33(3):62-65.ZHANG Yan-dong,JIAO Yan-jun,ZHANG Ju.Design of Fault Recorder Based on Embedded System[J].Relay,2005,33(3):62-65.

[2]佘黎煌,栾新军,张石,等.一种新型电力故障录波器的研究与实现[J].电测与仪表,2007,44(5):15-19.SHE Li-huang,LUAN Xin-jun,ZHANG Shi,et al.Research and Application of a New Electrical Fault Recorder[J].Electrical Measurement&Instrumentation,2007,44(5):15-19.

[3]邱大为,游大海,尹项根,等.一种带光纤数字接口的继电保护装置的研究[J].继电器,2003,31(5):33-36.QIU Da-wei,YOU Da-hai,YIN Xiang-gen,et al.Study on an Integrated Protection with Digital Optical Interface[J].Relay,2003,31(5):33-36.

[4]范建忠,马千里.GOOSE通信与应用.电力系统自动化,2007,33(19):85-90.FAN Jian-zhong,MA Qian-li.GOOSE and Its Application[J].Automation of Electric Power Systems,2007,33(19):85-90.

[5]徐成斌,孙一民.数字化变电站过程层GOOSE通信方案[J].电力系统自动化,2007,31(19):91-94.XU Cheng-bin,SUN Yi-min.A Communication Solution of Process Layer GOOSE in Digitized substation[J].Automation of Electric Power Systems,2007,31(19):91-94.

[6]DL/T 860.81-2006/IEC 61850-8-1,变电站通信网络和系统第8-1部分:特定通信服务映射(SCSM)到制造报文规范MMS(ISO9506-1和ISO 9596-2)和ISO8802-3的映射[S].DL/T860.81-2006/IEC 61850-8-1,Communication Networks and Systems in Substations:Part 8-1 Specific Ommunication Service Mapping(SCSM)-Mappings to MMS.(ISO 9596-1 and ISO 9596-2)and to ISO/IEC 8802-3[S].

7.数字逻辑总结 篇七

[关键词]数字逻辑设计及实验教学内容教学方法教学手段教学考核

《数字逻辑设计及实验》课程是计算机专业的一门重要专业基础课程。它是由《数字电路》与《逻辑设计及实验》两门课合成的一门新课,系统地介绍了数字电路和逻辑设计的基础知识、基本分析方法和设计方法,并演示了逻辑电路的典型实验。这门课程的内容多、学时少,在教学过程中极易出现教学重点不明确、教学主次不清晰、学生对重点难点的掌握不到位的情况。因此,教师必须优化教学内容、改革教学方法、改进教学手段、改善考核方式,以提高教学质量,更好地达到教学目标。

一、教学内容的优化

随着计算机技术和微电子技术的迅速发展,新概念、新器件和新方法的出现,必然引起课程内容的调整和优化。根据电子技术发展的客观实际和“厚基础、宽口径、大专业”的高校人才培养目标,教学内容的改革必须符合“打好基础、精选内容,逐步更新、利于教学”的要求。

由于《数字逻辑设计及实验》课程的教学内容多、学时少,为了完成教学任务,通常会把每章节的内容做些删减,以便加快教学进度。在某种程度上会造成知识的不连贯,让学生难以把握重难点。笔者结合教学实际,对教学内容进行了两方面的优化。

1理论教学内容的优化。一方面,数字逻辑电路发展几十年,其理论基础并未发生根本变化。逻辑代数仍然是数字逻辑电路的数学基础;组合逻辑电路和时序逻辑电路仍然是数字电路分析和设计的基本对象;真值表、卡诺图、逻辑表达式等方法仍然是数字逻辑电路分析和设计的重要工具。另一方面,集成芯片不断发展,单个芯片所能实现的逻辑功能日趋复杂,数字逻辑电路的分析和设计也日趋丰富。所以在教学内容的优化上应实现“确保基础,强化能力,重视外部,淡化内部,联系实际,突出应用”要求。

为此,笔者对理论教学内容做了如下调整:第一,详细讲解组合逻辑电路和时序逻辑电路的分析方法和设计方法。结合若干典型的逻辑集成芯片,如译码器、数据选择器、触发器、计数器等,重点介绍由集成芯片构成的逻辑电路的分析和设计。要求学生熟练掌握芯片的应用。第二,削减集成芯片内部结构及详细工作过程的介绍,着重讲解集成电路的逻辑功能、外部特性和典型应用。如在第三章中,重点介绍OC门、OD门、三态门和传输门的功能、符号及应用,而对TTL门电路和CMOS门电路的结构工艺适当削减。第三,EDA软件、Verilog HDL描述语言只作入门介绍,在后续的《数字逻辑设计课程实践》中再详细介绍。

2实验教学内容的优化。实验教学是在学生系统学完相应理论章节之后,使之能够运用所学知识分析实验课提出的实际问题,综合设计出逻辑电路,并能科学地进行观察和判断,排除故障,以达到设计的目的。

由于《数字逻辑设计及实验》这门课程实验学时少,笔者认为实验的重点应放在中规模集成电路上,而且把这些芯片当做一个整体器件应用,而不是着重于它的内部电路组成。电路逻辑功能的讨论研究又应该是实验的重点所在。在实验内容的改革中减少了电路基本原理的测试,增加了电路的设计和分析。改进后的实验共4个,占16学时。实验一:三态门和OC门的研究,熟悉这两种特殊的门电路,并由这两种门电路组成总线方式的数据传输电路。实验二:用MSI设计组合逻辑电路,掌握数据选择器、译码器和全加器等中规模集成电路(MSI)的使用方法。要求学生设计二进制数及8421BCD码的大小判别电路和血型遗传规律电路。实验三:集成触发器,掌握集成D触发器和集成JK触发器的使用。要求学生设计抢答器电路。实验四:用MSI设计时序逻辑电路,掌握集成计数器和双向移位寄存器的使用方法。要求学生用74LSl60设计简单数字电子钟。

二、教学方法的改革

传统的教学方法是以教师为主体,讲授各种数字电路的基本概念、工作原理和逻辑功能,而学生成了旁观者,被动地接受知识灌输,结果使他们失去了学习兴趣,对高深的理论知识望而却步。因此,在教学上要积极采用多种教学方法以提高学生的学习积极性,加强教师与学生的沟通,突出学生的主体地位,强化知识的系统性和连贯性,达到良好的教学效果。

1启发式教学。采用启发式教学调动学生的主动性、积极性和创造性。教师要精心设计教案,注重知识点的引入和综合运用,使课堂教学有声有色,教与学达到良好互动。如在介绍OC门一节时,教师先提出TTL门不能“线与”的缺点,要使其能够“线与”又要进行怎样的改进?从而引出OC门的概念,进入OC门电路结构的学习,再比较OC门和TTL门的优缺点。

2案例式教学。案例式教学是通过教师采用案例引导来说明理论知识,学生通过案例的分析研究加深对理论知识的理解,从而提高学生分析、归纳和总结的能力。教师要善于列举贴近实际的典型例题,激发学生的强烈求知欲望,加强学生的联系能力、发散思维能力。如在讲完组合逻辑电路这一章时,教师举了父母血型与子女血型遗传关系电路的例子,先用基本逻辑门电路设计,再分别用译码器、数据选择器(附加少量门电路)设计,不但使知识系统深化,而且起到了举一反三的作用。

3讨论式教学。讨论式教学是指在教学过程中给学生留出充分的思维空间,留出一些问题让学生研究、讨论,以锻炼他们独立分析问题和解决问题的能力,提高其创新能力。

三、教学手段的改进

传统的教学方法用黑板教学,照本宣科,内容枯燥,教学效果差。为了加强教学效果,教师应注重多种教学手段的研究与实践,利用有效的教学资源,形成课堂教学+实验教学+网络教学的多元化教学模式,从而将教与学紧密结合起来,有效调动学生的学习热情。

1多媒体教学。多媒体技术的最大优势在于交互性和集图文声像为一体,不仅有利于激发学生的学习兴趣,营造互动的课堂氛围,还有利于提高教学效率和学生的学习效果。在《数字逻辑设计及实验》课程的教学中应充分利用多媒体教学手段,强调内容的逻辑性和循序渐进性,由简到繁、由点到面,使学生轻松掌握各知识点,提高教学效果。

2实验教学。实验教学能巩固理论教学的知识,激发学生的学习兴趣和求知欲,能很好地培养他们的应用能力和创造能力。在实验教学中形成课堂——实验——课堂的循环信息刺激,有利于学生加深对知识的理解。《数字逻辑设计及实验》课程有明确的实验大纲和实验内容,要求学生在完成指定实验后书写完整的实验报告,已经形成较

为完善的实验教学体系。

3网络教学。网络教学是利用网络课堂进行辅助教学,丰富网络教学资源,通过编写教学案件、制作题库,为学生提供网络学习环境。网络教学能突破时间和空间的限制,在任何时刻访问课程的相关信息,方便学生自学和答疑。《数字逻辑设计及实验》课程已有教学案件和网络题库,有效提高了学习的便捷性。

四、考核方式的改善

《数字逻辑设计及实验》课程的考核包括理论知识的考核和实验知识的考核,各占总成绩的60%和30%,另外,平时考核占10%。这种考核方式改变了传统的一锤定音的考试方式,逐步建立了“平时考核、理论知识、实际动手”三者并重的考核模式。平时考核包括考勤、课堂提问、课后作业、答疑等,其目的是引导学生学习的积极性和主动性,减轻学生期末考试的压力。理论知识的考核主要是期末的考试环节,其目的是督促学生学习的自觉性。科学合理的考试试题不仅能检验学生对所学知识的掌握程度,而且能以考试成绩给学生认可,建立正确的学习方法和学习态度。实验知识的考核主要是以学生做实验时的表现和完成实验报告的情况来评估的。要求学生在每次做实验之前必须认真预习,没有预习好或没有设计好电路和测试实验步骤,就不允许学生实验。另外,在实验中要引导学生坚持“先想后动”的原则。做完实验后要求学生认真写好实验报告,实验报告是实验的一个重要环节,也是一个再提高和知识升华的过程。要求学生写报告一定要真实,养成严谨的学风。

总之,在改善考核方式时要发挥考试的导向作用,抓住考核这一教学环节,引导和教育学生端正考试动机,巩固课程的教学质量,同时加强理论与应用的结合。

教学是一门艺术,需要不断探索和改进。在《数字逻辑设计及实验》课程的教学中,优化教学内容,改革教学方法,改进教学手段,改善考核方式是提高教学质量的重要基础;注重培养学生分析问题和解决问题的能力,是适应时代发展的需要。

参考文献:

[1]康华光,电子技术基础数字部分(第五版)[M],北京:高等教育出版社,2006。

[2]邓水先,《数字逻辑电路》课程的教改探索[J]职业教育研究,2008,(8):68-69。

上一篇:科技手抄报精美又漂亮图片素材下一篇:第一场雪四年级学生作文