dsp数字信号处理论文

2024-09-03

dsp数字信号处理论文(通用12篇)

1.dsp数字信号处理论文 篇一

双DSP电机控制数字平台设计

摘要:和异步机的各种控直接转矩控制目前已经应用到同步机制系统中,由于其采用Bang?Bang控制,长控制周期将导致大电流和大的转矩脉动这两个突出问题,要使控制性能更为优越必然对控制周期提出更高的要求。提高控制平台性能是解决这些问题的有效途径之一。TI公司的系列DSP是电机控制领域常用芯片,针对电机控制设计的事件管理器具有突出优点。3X系列DSP则是性价比很好的通用芯片,浮点运算,数据处理速度快。为此采用双DSP系统结构,从电机控制领域特点出发,利用TMS320LF2407A控制上的强大功能而专注于控制方面的工作;TMS320VC33浮点运算能力强,则进行数据的分析和处理。使用双口RAMCY7C025实现双机之间的高速数据交流和通信,使得不同MDSP优势充分体现,协同工作,大大提高控制平台的性能。

关键词:电机控制;直接转矩控制;双DSP;双端口RAM;通信

引言

直接转矩控制[1]是目前广为研究的电机控制理论之一,已在异步机上取得了成功,而在同步机方面的应用也已有了一定发展[2]。由于该理论直接对转矩进行控制,故瞬态性能得到了显著的改善。但是,由于其采用的是Bang?Bang控制,控制周期过长会使电流过大;同时大周期会使转矩脉动加大。为了解决这个问题可以从控制策略上加以改进,比如采用SVM?DTC[3]来取代传统DTC方案;也可以在控制平台上加以考虑,提高处理器速度,缩短控制周期。以单个DSP为核心的控制平台(常见的芯片如TI公司的2000系列),由于既要完成复杂的算法,还要执行数据采集、控制信号输出、系统保护以及人机交互等一系列操作,无法有效地缩短控制周期。在综合考虑了各种数字信号处理器的性能之后,决定采用双DSP并行工作的体系结构;并同时考虑到该控制系统的`特点,即在每个控制周期内两个DSP之间交换的信息很少,不同于诸如图像采集系统[4]那样,需要大流量的数据交换。由此采取了一系列特殊的设计思想。首先,在芯片的选型上兼顾了各自不同的特点,即专用于电机控制领域的芯片TMS320LF2407A专注于控制;高速通用数据处理芯片TMS320VC33则着眼于复杂算法的实现,从而充分利用了各自的特点。其次,针对电机控制这一特定领域,需要采集的数据相对较少,同时反馈的也只是计算结果,即PWM波发送策略,并无大量中间结果,因此,需要考虑的重点是控制方法的实现,和数据采集的实现必须占用尽可能少的资源。同时由于数据量较少,可以用较小的代价来实现数据的冗余,使得数据处理时更加灵活和方便,DSP之间并不一定保持同步工作状态。为了实现两个DSP之间的数据交换和通信,选择了双口RAM作为两者之间的媒介。并从硬件和软件上相互配合,避免存储空间争用[5]的同时,使得数据存储过程尽量少耗费各种资源。

1 硬件系统构成

TMS320LF2407A最突出的特点在于其事件管理器模块:共有两个事件管理器EVA及EVB,提供了8个16位脉宽调制(PWM)通道。这些都是针对电机控制而设计的,在PWM波的产生上相当方便可靠;可编程的PWM死区控制可以防止上下桥臂同时输出触发脉冲而导致直通。同时每个模块还提供了两个外部引脚PDPINTA和PDPINTB,当该引脚上出现低电平时事件

[1][2][3][4][5]

2.dsp数字信号处理论文 篇二

在数字信号处理器之前的处理器主要有两种, 一种是通用计算机核心的中央处理器CPU, 另一种是微控制器MCU。这两种处理器的在进行大量运算时都面临技术瓶颈, 一种高速的数字信号处理的器件亟待产生。那个时候, 数字信号处理的理论已经有了, 像滤波器、编码解码等对于乘法、浮点运算要求很高, 如果用通用CPU来处理的话, 指令非常多、效率比较低;而如果在处理器中就有这样一个乘加结构, 数字滤波器就可以在一个指令中完成而达到实时的处理结果。由于技术与大量运算相关, 每秒完成百万条指令运算就变为一个新的单位每秒百万条指令) 。早期DSP出现时采用了NMOS工艺, 基于NMOS工艺的芯片会产生大量的功耗, 由于这个原因DSP生产工艺很快转换为CMOS。

数字信号处理是利用专用或通用数字信号处理芯片, 通过数字计算的方法对信号进行处理。与模拟信号处理相比, 数字信号处理具有精确, 灵活, 抗干扰能力强, 可靠性好和易于大规模集成等特点。DSP系统以数字信号处理为基础, 与模拟信号处理系统相比, 其优点:

接口简单, 方便。由于数字信号的电气特性简单, 不同的DSP系统相互连接时, 在硬件接口上容易实现。

精度高, 稳定性好。数字信号处理仅受量化误差和有限字长的影响, 处理过程不引入其他噪声, 因此有较高的信噪比。另外模拟系统的性能受元器件参数性能影响较大, 而数字系统基本不变, 因此数字系统更便于测试, 调试, 及批量生产。

编程方面, 容易实现复杂的算法。在DSP系统中, DSP芯片提供了一个高速计算平台, 系统功能依赖于软件编程实现。当其与现代信号处理理论和计算数学相结合时, 可以实现复杂的信号处理功能。

集成方面。现代DSP芯片都是将DSP芯核及其外围电路综合集成在单一芯片上。这种结构便于设计便携式高集成度的数字产品。

但是DSP的成本一直居高不下, 实现每个MIPS的成本高达10~100美元。高产本使得DSP的推广受到一定的影响。因此, 上个世纪六、七十年DSP主要用于高尖端领域。到了八十年代, 有些公司陆续设计出适合于DSP处理技术的处理器, 于是DSP开始成为一种高性能处理器的名称。TI在1982年发布了第一颗DSP芯片, 名为TMS32010, 这是一个处理速度达5个MIPS的处理器。

首席科学家兼DSP业务开发经理Gene Frantz的一段话:“DSP产业在约40年的历程中经历了三个重要阶段:第一阶段, DSP意味着数字信号处理, 并作为一个新的理论体系广为流行;随着这个时代的成熟, DSP进入了发展的第二阶段, 在这个阶段, DSP代表数字信号处理器, 这些DSP器件使我们生活的许多方面都发生了巨大的变化;接下来又催生了第三阶段, 这是一个赋能的时期, 我们将看到DSP理论和DSP架构都被嵌入到类产品中[6]。”八十年代后开始了第二个阶段, DSP从数字信号处理的概念逐步走向了产品。如同任何新兴事务一样, 新兴的DSP业务也承担着巨大的风险, 如何降低成本成为当时设计师需要考虑的事情。当设计师努力使DSP处理器每MIPS成本降到了低于10美元范围时, DSP开始在包括商业应用在内的各行中不断获得成功。九十年代初, TI推出价格可与16位微处理器不相上下的DSP芯片, 首次实现批量单价每MIPS低于5美元, 但所能提供的性能却比以前提高了至10倍。到九十年代中期, 多家公司跻身DSP领域与TI进行市场竞争。

首家提供可定制DSP, 可定制DSP基于内核的设计可使DSP具有更高的系统集成度, 大加速了产品的上市时间。同时, TI瞄准DSP电子市场上成长速度最快的领域。到90年代中期, 这种可编程的DSP器件已广泛应用于数据通信、海量存储、语音处理、汽车电子、消费类音频和视频产品等等, 其中最为辉煌的成就是在数字蜂窝电话中的成功。这时, DSP业务也一跃成为TI最大的业务, 这个阶段DSP每MIPS的价格已降到10美分到1美元的范围。二十一世纪DSP发展进入第三个阶段, 市场竞争更加激烈。激烈的竞争更加促进技术一直前进, 未来的发展一定会有更加广阔的空间。

3.dsp数字信号处理论文 篇三

与此同时,品友互动CEO黄晓南也向公众分享了品友每天对接的流量——70亿,而2012年,这一数字仅仅是10亿。彼时,在中国也仅有8%的企业愿意尝试RTB模式,整个市场都将其作为其他营销之外的补充性投放方式。然而,到2014年,却已经有广告主将50%的预算放到程序化购买(用技术系统自动化执行广告投放、优化以及数据分析等),包括两个主要模式,一个是实时竞价(RTB),一个是私有程序化购买(PDB),它们都离不开广告投放系统(DSP)。预计到2019年,整个数字广告40%的预算都将由程序化购买进行,市场规模将达到170亿元。

“程序化购买可以让广告主达到40%的有效率,达到广告精准投放的目的。”美国OMG公司客户总监何舜茵(Christine Ho)认为,这才是让程序化购买真正风靡的原因所在。如此高效的投放效果背后,是企业不断加入DSP平台的身影。在数字营销界,一场由RTB和DSP模式掀起的思维变革,正在兴起。

点击转换KPI受挑战曝光转换成王道

90%~99%的转换其实来自于看了广告,但没有点击的消费者。

5月21日的品友互动全球实时竞价(RTB)广告峰会会场,品友互动CEO黄晓南分享了这一数据,同样的数据,美国DSP上市公司Rocketfuel通过大量广告数据也分析得出。

长久以来,点击转换一直是互联网行业、数字广告业多年来赖以依存的关键指标,KPI已经成为行业标准以及数字广告的标志性名词。然而,这样的标准在当下却受到挑战。在DSP平台,KPI不再是王道,而曝光价值成为企业追求的核心。

由于RTB的核心是人群购买而非广告位置,当消费者打开某个页面的一瞬间,广告平台和DSP通过各种技术手段将获得打开页面的用户的浏览行为,并通过这些浏览行为预测并最终决定该投放怎样的广告给这个用户。比如当网友打开一个体育网站查看最近的篮球比赛信息,在右边有广告栏。在网友打开页面的一瞬间,网站会将该页面请求发送到一个或多个实时广告交易平台(AD Exchange)进行出售,AD Exchange便通知DSP平台的企业,有网友在看NBA比赛信息,企业愿意花多少钱给他看你的广告,当企业看到该信息之后开始竞价,最终出价信息汇总到广告供应方平台,出价最高的企业广告信息会展现在右侧广告栏。一切都发生在不到30毫秒的时间里,而在这一过程中,企业的曝光价值和营销价值却同时得到体现。

“让广告主可以及时根据曝光效果分析随时优化投放,实现动态创意,让合适的人看到合适的广告。”品友互动联合创始人谢鹏表示,这是DSP平台最大的优势所在。

程序化购买加速营销竞争DSP平台成企业品牌推广福音

50分钟,800万的网上销量。

这是某快销品近日通过品友互动的DSP平台促销活动取得的业绩。

如此巨大的销量增长自然促进了企业对DSP平台的重视,近年来,企业对程序化购买的热情增长迅速,而固定广告位的程序化购买也使得优质资源入驻交易平台的进程大大加快。据统计,2012年只有8%的网站流量来自于艾瑞排在前100的网站。现在78%的流量,已经来自于艾瑞在各个领域里排名前10的网站流量。目前各大主流网站都已经把主要售卖资源放在了DSP交易平台里供广告主进行竞价。腾讯、新浪都已经推出了自己的私有(只发布自家的广告资源)AD Exchange平台。百度、阿里也在2013年正式入驻RTB市场。而这其中,最引人注目的莫过于DSP平台为企业品牌推广带来的福音。目前,已经有三元牛奶、茅台酒业、人人贷网络平台等品牌实际使用了品友DSP进行营销推广。

吴松航认为:“传统营销方式是我只需要130万个消费者,但是我却要把广告展示给3亿人看。通过DSP模式,可以精准地找到真正的潜在消费者,并对他们产生影响。”

由于DSP平台具有独立性,这就保证了广告投放中没有包段资源,没有关系户,所以不管是世界500强大品牌,还是全国各地的中小企业,都可以用RTB广告迅速提升营销效果。

2013年品友互动推出的“品友大算盘”,就是国内首个针对中小企业定制的自助DSP广告投放平台,意在帮助中小企业广告主实现海量、低价、目标人群的高效曝光。

10亿加10亿DSP布局移动、视频

“10亿加10亿。”前一个10亿是品友互动实现对接的视频流量,后一个10亿是品友互动的移动DSP已经覆盖的每天10亿次曝光。这是峰会当天黄晓南分享的数据。

CNNIC数据显示,2013年,移动互联网用户数达到5亿,手机视频用户达到2.47亿。另有报告指出,2014年Q1全球网络视频播放量的21%通过移动手机或平板电脑进行,超过75%的用户会花费超过10分钟以上时间。这些数据不仅大大刺激了视频行业,也让DSP热血沸腾,因为视频和移动具备程序化购买的最好潜力。

事实上,从去年开始DSP就已经在移动、视频方面对接。当很多人认为DSP广告仍限于PC端的banner图片广告和视频贴片广告时,如今包括优酷土豆、爱奇艺PPS、PPTV等主流视频网站都已经和DSP合作,实现了跨平台、多形式的数字广告投放。来自品友方面的消息称:2014年1月品友视频DSP投出第一个真正意义的视频前贴片实时竞价广告,投放金额已达百万级。联合利华数字运营及消费者互动营销负责人廖明介绍,男士洗发水通过跨屏的视频投放,与传统投放相比同样的预算覆盖目标人群提升了两倍。

4.dsp数字信号处理论文 篇四

引言

随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。

1 数字滤波器的设计

1.1 数字滤波器设计的基本步骤

数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR)滤波器和有限长冲激响应(FIR)滤波器。IIR滤波器的特征是,具有无限持续时间冲激响应。种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间,在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着MATLAB软件尤其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。

数字滤波器设计的基本步骤如下:

(1)确定指标

在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给出幅度和相位响应。幅度指标主要以两种方式给出。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FIR滤波器的设计。第二种指标是相对指标。它以分贝值的形式给出要求。在工程实际中,这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频带中人有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:①只包含实数算法,不涉及复数运算;②不存在延迟失真,只有固定数量的延迟;③长度为N的滤波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的设计就以线性相位FIR滤波器的设计为例。

(2)逼近

确定了技术指标后,就可以建立一个目标的数字滤波器模型。通常采用理想的数字滤波器模型。之后,利用数字滤波器的设计方法,设计出一个实际滤波器模型来逼近给定的目标。

(3)性能分析和计算机仿真

上两步的结果是得到以差分或系统函数或冲激响应描述的滤波器。根据这个描述就可以分析其频率特性和相位特性,以验证设计结果是否满足指标要求;或者利用计算机仿真实现设计的滤波器,再分析滤波结果来判断。

1.2 滤波器的MATLAB设计

(1)MATLAB

MATLAB是一套用于科学计算的可视化高性能语言与软件环境。它集数值分析、矩阵运算、信号处理和图形显示于一体,构成了一个界面友好的用户环境。它的信号处理工具箱包含了各种经典的和现代的数字信号处理技术,是一个非常优秀的算法研究与辅助设计的工具。在设计数字滤波器时,通常采用MATLAB来进行辅助设计和仿真。

(2)FIR滤波器的MATLAB设计

下面以设计线性相位FIR滤波器为例介绍具体的设计方法。

线性相位FIR滤波器通常采用窗函数法设计。窗函数法设计FIR滤波器的基本思想是:根据给定的滤波器技术指标,选择滤波器长度N和窗函数ω(n),使其具有最窄宽度的主瓣和最小的旁瓣。其核心是从给定的频率特性,通过加窗确定有限长单位脉冲响应序列h(n)。工程中常用的窗函数共有6种,即矩形窗、巴特利特(Bartlett)窗、汉宁(Hanning)窗、汉明(Hamming)窗、布莱克曼(Blackman)窗和凯塞(Kaiser)窗。

假设实际工程需要设计一个线性相位带通FIR滤波器指标如下:

fn=[1000,1375,3625,4000];

a=[0,1,0];

dev=[0.0005,0.05,0.0005];

阻带最小衰减60dB,再设采样频率fs=10kHz,则根据阻带最小衰减来选择凯塞(Kaiser)窗,利用MATLAB的求阶函数和FIR滤波器的设计函数,可以快速地设计出所需的数字滤波器。这两个设计函数如下:

[N,Wn,beta,ftype]=kaiserord(fn,a,dev,fs);

b=fir1(n,Wn,ftype,Kaiser(n+1,beta);

最后,利用的滤波器分析函数freqz分析所设计出的滤波器的幅频特性和相频特性,并用图形显示函数plot将它们显示出来,如图1所示。由图1可见,设计结果满足指标要求。

(本网网收集整理)

2 数字滤波器的实现方法

数字滤波器的实现方法一般有以下几种。

①采用加法器、乘法器、延时器设计专用的滤波电路。

②在通用计算机系统中加上专用的加速处理机设计实现。

③用通用的可编程DSP芯片实现。

④用专用的DSP芯片实现。在一些特殊的场合,要求的信号处理速度极高,用通用DSP芯片很难实现。这种芯片将相应的滤波算法在芯片内部用硬件实现,无需进行编程。

⑤采用FPGA/CPLD设计实现。

5.dsp数字信号处理论文 篇五

目标:利用sptool工具观察信号波形、频谱和滤波。

要求:

1.系统采样率2048,产生噪声信号,观察信号波形和频谱;

设计低通滤波器去除高频分量,观察滤波后的信号波形和频谱。

注:噪声产生可用randn命令

6.dsp数字信号处理论文 篇六

摘 要:提出了基带信号发生器中CDMA2000无线传输技术的下行链路基带处理方案,给出了其数字基带处理原理框图,并详细介绍了设计过程中涉及的各种CDMA 关键技术及其软硬件实施方案。

关键词:CDMA2000;基带信号发生器;FPGA;DSP

1引言

第三代移动通信系统是为满足人们对宽带移动通信的要求而产生的,他除能提供传统的电路数据业务(语音和低速数据业务)以外还能提供最高达2 Mb/s的分组数据业务。CDMA2000技术是第三代移动通信系统的主要标准之一。本文主要探讨基带信号源中CDMA2000下行基带信号模块的实现方案。

CDMA2000下行链路物理信道分为2类:一类是公共物理信道,一类是专用物理信道。其中公用物理信道包括:导频信道、同步信道、寻呼信道、广播信道、快速寻呼信道、公共功率控制信道、前向公共控制信道、公共指配信道。专用物理信道包括:前向专用辅助导频信道、专用控制信道、前向基本信道、前向补充码分信道(RC1,RC2),前向补充信道(RC3~RC9)。CDMA2000下行信道基带处理过程如图1所示。

基本信息比特进行信道编码和交织处理后进行长码加扰以区分用户,然后数据流进行符号映射即将0变为+1,1变为1,经变换后的数据流再进行串并转换,即将串行数据变为并行数据,再经WALSH码扩频(区分信道),最后数据经基带滤波形成前向基带信号。

其中的信道编码和交织进行的处理又包括加1位的保留位或标志位,加帧质量指示(CRC),加8位尾比特或保留位,卷积/Turbo编码和速率匹配等一系列操作。如图2所示。

信道编码和交织处理过程如图2所示。在信息比特流加入了帧质量指示(循环冗余校验比特)和纠错比特,实现检错。对数据进行卷积/Turbo编码是为了对抗传输信道中的随机误差,提高信道传输性能。为了适应多种速率传输,信道编码方案中还增加了速率匹配功能。速率匹配是将传输信道上的数据比特打孔或重复,以便达到信道映射时传输格式要求的比特速率。在信道编码中,采用交织技术可分散突发连续错误,减少信道编码需要校正的连续错误,使连续误码离散化成随机错误以便利用前面的信道编码手段纠正。

2CDMA2000下行链路基带处理的关键技术 2.1卷积/Turbo编码

卷积编码属于信道编码,主要用来纠正码元的随机误差,他以牺牲效率换取可靠性,利用增加监督位进行检错和纠错,这对数字移动通信十分必要。如图3所示是编码效率R=1 /2,约束长度K=9的卷积码的原理框图。

Turbo编码是近年来倍受瞩目的一项新技术,他是在卷积编码、级联码和最大后验功率译码基础上的一种推广和创新,Turbo编码后的误码率(BER)近似为10-5,接近Shannon极限的性能,他不仅在信噪比较低的高噪声环境下性能优越,而且具有很强的抗衰落、抗干扰能力。Turb o码的优良性能受到移动通信领域特别是第三代移动通信体制的重视,所有的第三代无线接口标准都采用了Turbo编码。但因为Turbo编码实现复杂,所以他主要用于高速率数据信道,而卷积编码用于低速率话音信道。如图4为Turbo编码的原理框图。

2.2交织

对输入的数据进行交织可以改善码距分布。交织就是用某种一一对应的确定性方法重新排列二进制和非二进制序列顺序的过程,以此来随机化突发错误的统计特性,使得信道无记忆。交织技术是为了抵抗无线信道的噪声以及衰落的影响而采取的时间分集技术,他在接收技术中具有重要的作用,在编码过程中采用交织算法是为了对信息流进行纠错控制。交织技术分散了随机错误和突发错误,采用交织技术使成群错误趋向更随机地分布,改善了码组的误码率性能。下面仅给出对于前向同步和寻呼信道以及业务信道在RC1和RC2配置下的交织器数据输出地址的计算公式:

其中:Ai表示被读出符号的地址,i=1,2,…,N1,N表示交织器长度;[x]表示向下取整;imod j表示i对j取模;BROm表示y的m位比特反转值;m与j为交织器参数可查表得到。

2.3扰码

扰码技术即用PN码与已扩频码相乘,实现对信号的加密。扰码之间必须有良好的正交性。上行链路物理信道加扰的作用是区分用户,下行链路加扰可以区分小区和信道。42位长PN码的特征多项式如下公式:

15位的PN短码用于QPSK调制的I,Q支路的直接序列扩频,两支路的短PN码特征多项式分别为:

2.4扩频

扩频操作又叫信道化操作,即用一个高速数字序列(扩频码)与数字信号相乘,把数据符号转换成一系列码片,从而大大提高了数字符号的速率,增加了信号带宽。由信号理论知道,脉冲信号宽度越窄,其频谱就越宽,信号的频带宽度和脉冲宽度近似成反比,因此,越窄的脉冲序列被所传信息调制,可产生频带很宽的信号。扩频码序列就是很窄的脉冲序列。通过扩频操作信号频谱被大大拓宽了。在常规通信中,为了提高频率利用率,通常都是采用大体相当带宽的信号来传输信息,即在无线电通信中射频信号的带宽和所传信息的带宽是属于同一个数量级的,但扩频通信的信号带宽与信息带宽之比则高达100~1 000,属于宽带通信,这样做是为了提高通信的抗干扰能力,这是扩频通信的基本思想和理论依据。扩频通信系统扩展的频谱越宽,处理增益越高,抗干扰能力就越强。在接收端用与发送端完全相同的扩频码序列来进行解扩。

2.5基带滤波

基带部分滤波器就是脉冲成形滤波器(LPF)。由于输出信号是带宽受限的,所以扩频调制器的输出码片流要利用脉冲成形滤波器进行滤波。

2.6QPSK调制

QSPK正交调制器方框图如图5所示,他可以被看成是由2个BSPK 调制器构成。输入的串行二进制信息序列经串/并变换,分成两路速率减半的序列,电平发生器分别产生双极性二电平信号I(t)和Q(t),然后用载波分别进行调制,相加后即得到QPSK信号。QPSK调制效率高,要求传送途径的信噪比低,非常适用于CDMA移动通信系统。其原理框图如图5所示。

3CDMA2000下行链路处理模块的实现

第一步在实现基带模块之前,首先必须根据应用系统的目标确定系统的性能指标、协议要求。

第二步是根据系统的要求进行芯片的选择,可供选择的芯片包括DSP,FPGA 和单片机。其中,DSP 芯片可单独完成整个基带部分的处理,典型的以DSP为核心的基带模块的主要特点 是方便的可测量性、单个信道的低耗费以及简便的软硬件升级性。也可选择DSP与FPGA 搭配使用,FPGA+DSP结构最大的特点是结构灵活,有较强的通用性,适于模块化设计,从而能够提高算法效率,同时其开发周期较短,系统易于维护和扩展,适合于实时信号处理。采用不同的芯片进行处理,会导致不同的系统性能,要得到最佳的系统性能,就必须在这一步确定最佳的芯片选择搭配。

在完成第二步之后,就是总体设计确定软硬件分工。基带模块的设计包括硬件设计和软件设计2个方面。硬件设计首先要根据系统运算量的大小、对运算精度的要求、系统成 本限制以及体积、功耗等要求选择合适芯片。然后设计芯片的外围电路及其他电路。软件设计和编程主要根据系统要求和所选的芯片编写相应的汇编程序,若系统运算量不大且有高级语言编译器支持,也可用高级语言(如C语言)编程。由于现有的高级语言编译器的效率还比不上手工编写汇编语言的效率,因此在实际应用系统中常采用高级语言和汇编语言的混合编程方法,即在算法运算量大的地方,用手工编写的方法编写汇编语言,而运算量不大的地方则采用高级语言。

硬件和软件设计完成后,需进行硬件和软件的调试。软件的调试一般借助于芯片开发工具,如软件模拟器、开发系统或仿真器等。硬件调试一般采用硬件仿真器进行调试,如果没有相应的硬件仿真器,且硬件系统不是十分复杂,也可以借助于一般的工具进行调试。系统的软件和硬件分别调试完成后,对软硬件进行系统集成。最后,完成系统调试。实现框图如图6所示。

4结语

本设计的基带信号发生器CDMA2000下行链路基带模块设计将移动通信中的各种关键技术融为一体,形成具有整体性的CDMA数字基带处理技术。在CDMA2000基带设计过程中融入了软件无线电的思想,提出了无线信号发生源CDMA2000无线传输技术的基带处理方案,设计出信号源数字基带处理的软硬件实施方案,实现时运用了FPGA+DSP这样一种灵活的现代电子技术方案。参考文献

7.dsp数字信号处理论文 篇七

DSP往往有两方面的含义, 一方面指Digital Signal Processing, 即数字信号处理, 另一方面则指Digital Signal Processor, 即数字信号处理器。《数字信号处理—DSP》课程主要讲授的是DSP处理器的知识, 涉及到的DSP就是指数字信号处理器。在当今的数字化时代背景下, DSP技术的地位尤为突显。因为数字化的基础就是数字信号处理, 而数字信号处理的任务, 特别是实时处理的任务, 主要是由通用的或专用的DSP处理器来完成的[1]。目前, DSP已成为通信、计算机、消费类电子产品等领域的基础器件, 被誉为信息社会革命的旗手。甚至有业内人士预言, DSP将是未来集成电路中发展最快的电子产品, 并成为电子产品更新换代的决定因素, 它将彻底变革人们的工作、学习和生活方式。

2《数字信号处理—DSP》课程的开设目的及教学现状

2.1 开设目的

《数字信号处理—DSP》课程是作为我院计算机科学与技术专业嵌入式方向学生三年级第二学期的专业方向选修课来开设的。目前, 嵌入式系统方向的发展是非常迅速的, 我国也缺少大量的这方面的专业人才。为了紧密联系市场需求, 丰富学生的选择, 我院设置了嵌入式方向供学生选择。在传统的嵌入式应用中, 分别采用通用微处理器 (或微控制器) 和DSP内核来执行通用功能与信号处理算法。因此, 开设《数字信号处理—DSP》课程可以帮助计算机科学与技术专业的学生填补DSP处理器方面知识的空白, 也为今后从事嵌入式系统方向的工作奠定宽阔的基础。

2.2 教学现状

从2009级学生开始, 我们已经完成了两次《数字信号处理—DSP》课程的教学。由于我们的学生大都不太喜欢计算机硬件方面的学习和钻研, 而本课程又偏重对DSP芯片的原理讲解和应用, 因此, 选修的人数并不多, 所需的先修课程基础知识的积累也相对薄弱。此外, 这个时间恰逢一部分学生准备考研、一部分学生实训就业的敏感时期, 因此学生学习兴趣不高, 缺勤现象严重, 即使坐在教室里也不一定是在听课。所以DSP技术这门课程, 要想激起学生的学习兴趣, 在短暂的时间内, 使学生掌握它的精髓, 就需要不断的进行研究探索, 找出一种最适合这门课程的教学方法。

3《数字信号处理—DSP》课程的教学内容

3.1 理论授课内容

本课程初次授课时选用了电子科技大学彭启琮老师主编的《DSP技术的发展与应用》作为教材, 系统地介绍数字信号处理的基本思想和优越性, 对目前国内外最为流行的德州仪器C2000, C5000, C6000系列处理器硬件结构与软件结构做了详细描述。讨论了DSP的集成开发环境与工具。在算法方面, 涉及了常用的数字信号处理算法。工程实现方面讨论了DSP系统中最常见的硬件基本电路以及软件设计调试等工程问题。但由于所授内容主要围绕TMS320C54X系列芯片展开, 和我们的实验环境及设备存在一定的差距, 因此后期重新调整了教学内容。主要以TI公司的TMS320F2812系列芯片为描述对象, 以应用系统设计为主线, 系统地介绍了DSP技术的基础知识;典型的DSP芯片, TMS320F2812的体系结构、原理和指令系统;其次介绍了汇编语言开发工具、汇编程序设计和应用程序开发实例;然后从应用的角度介绍了DSP芯片的片内外设应用和DSP系统的硬件设计, 并通过几个应用系统设计实例介绍了DSP芯片的开发过程。

3.2 实验内容

为了更好地将理论和实践相结合, 使学生在短期内熟悉DSP处理器的结构和应用。在实验环节我们也设计了不同的实验项目:

1) CCS开发工具的使用。TI公司的DSP处理器在市场上占据主导地位, 而CCS则是TI公司提供的DSP开发工具, 因此, 要学好和用好TI公司的DSP处理器就必须先掌握CCS的基本使用。所以在这个实验项目中我们要求学生掌握CCS的基本操作方法以及一些高级工具的使用。

2) 基于DSP处理器的实验。例如DSP芯片存储器 (包括片内和片外) 配置及验证实验。

3) 基于DSP系统的实验。包括中断处理、定时器使用、A/D和D/A转换实验。

4) DSP片内外设实验。包括GPIO管脚使用、Mc BSP串口实验等。

5) DSP算法实验。包括FIR和IIR滤波器实验, FFT实验等。

6) DSP综合应用实验。包括电机控制、交通灯控制等实验。

上述实验根据学生的能力, 要求完成最基本的部分, 其余可以作为学生自由选择的项目。此外, 通过实验室开放的环节, 帮助那些对研究DSP处理器应用开发感兴趣的同学进一步掌握相关知识, 完成更高难度的设计。

4《数字信号处理—DSP》课程教学中存在的问题和改进的措施

4.1 存在的问题

《数字信号处理—DSP》课程的综合性和实践性都比较强, 而传统的教学往往存在重理论轻实践的现象, 加之学院本身缺乏工科背景的支持, 在教学中难免存在这样那样的问题。集中起来包括两方面。

1) 课程内容丰富, 对教师和学生的要求都比较高。一方面教师要在有限的学时内讲授大量对学生来讲完全陌生的内容, 在教学内容安排和学时分配以及教学方法的选择上都对教师提出了挑战。另一方面, 学生学习DSP不仅要掌握它的硬件结构, 还要学习汇编软件编程, 要求学生基础知识扎实。这样一来, 学生普遍存在畏难情绪, 影响了学习的效果。

2) 缺乏真正的实践场所和机会。我们目前的实验教学还是停留在实验室环境下, 无法给学生提供更广阔的平台去练习, 将相关课程的知识糅合到一起, 这样一来知识的学习就显得系统性不强。

4.2 改进的措施

1) 合理选择教学内容和方法, 大胆进行改革和探索。在教学内容上, 根据学生的特点和教学目标及给定的课时数, 对教学内容本身的深度、广度进行适当裁剪, 以学生能顺利接受新知识为准。在教学方法上, 加强案例教学法等新方法的应用。

2) 以电子设计大赛为契机, 以毕业设计为导向, 有意识的引导学生进行创新性实验和综合性实验的练习。此外, 积极为学生联系相应的实践实训基地, 帮助他们进一步明确所学知识的用处, 培养学生对课程的兴趣。

5 结论

随着数字信号处理技术的不断发展, DSP处理器的应用将会更加的普及。《数字信号处理—DSP》作为一门综合性强、内容多、实践性强的专业方向选修课程, 它的作用也会越来越重要。因此, 这门课程“教什么, 怎么教, 学什么, 如何学, 如何用”都将是今后相当长的时期内我们反复探索和研究的问题, 相信通过不懈的努力, 我们一定能够让这门课程取得满意的教学效果。

参考文献

[1]彭启琮, 李玉柏, 管庆.DSP技术的发展与应用[M].北京:高等教育出版社, 2002.

[2]周云松.DSP原理与应用课程教学研究与实践[J].福建电脑, 2005 (12) :159-161.

[3]赵红怡.DSP技术与应用实例[M].北京:电子工业出版社, 2008.

8.dsp数字信号处理论文 篇八

[关键词]指纹识别 DSP TMS320VC5402 CCS 2.2

利用生物认证技术取代传统的使用钥匙、身份证、密码等方法进行个人身份鉴定,可广泛应用于银行、机场、公安等领域的出入管理。将信息技术与生物技术相结合的生物认证技术是本世纪最有发展潜力的技术之一,而指纹识别技术则是其中非常有前景的一种。

本文研究指纹识别的预处理算法及其DSP实现问题,其中包括指纹的极值滤波、平滑滤波、拉普拉斯锐化、迭代二值化和该算法在DSP开发平台CCS2.2的C5000上的仿真实现。

1.指纹识别预处理算法

1.1 极值滤波

解梅、马争认为极值滤波器的设计是基于这样一种理念:在指纹图像的采集过程中,指纹图像所受到的冲击性噪声表现为一些斑点或亮点。在一般情况下,可以认为绝大数冲击性噪声是被真实的灰度值所包围。同时噪声污染的像素要远远小于真实灰度值的像素。因此在噪声的消除过程中,无需对大多数没有被噪声污染的像素进行改变处理,只需对那些被污染的像素进行“真实值”代替处理,而这些值的确定可通过图像像素邻域的相关性来确定。

9.dsp数字信号处理论文 篇九

摘要:探测系统对输入的空间瞬态光辐射信号进行实时识别处理,反演估算出空间瞬态信号能量大小并报告发生时刻。采用DSP+CPLD的数字处理方案,利用DSP的高速数字信号处理特性及COLD的复杂逻辑可编程特性,可实现对瞬态信号的实时识别和处理。其中用CPLD实现A/D变速率采样,解决了嵌入式系统线路板面积有限与实时处理需要大容量存储空间的矛盾。

关键词:DSP CPLD 实时处理

我国现役空间瞬态光辐射信号探测系统中,老型号较多,大部分没有配备自动检测和录取设备。空间瞬态信号的录取、数据的处理和上报大多由人工进行,难以胜任复杂环境下快速、准确录取信号以及气象情报入网的要求。为适应现代化气象分析的要求,采用DSP+CPLD的方式将极大地提高现有空间瞬态信号探测的自动录取和分析能力。

(本网网收集整理)

在实时信号处理技术中,DSP+CPLD方式是目前国际上比较通用的方法,如美国、俄罗斯等多采用这种方式。DSP是一种可编程的数字微处理器。与单片机相比,DSP芯片具有更适于数字信号处理的软件和硬件资源,可用于复杂的数字信号处理算法。本文采用美国TI公司的TMS320C3X系列浮点DSP芯片TMS320C32作为整个系统的主机,利用其完成系统的控制和数字信号处理功能。

CPLD是一种多用途、高密度的复杂可编程逻辑器件,可将系统的部分或全部功能集成在一块芯片上,并且具有设计方便灵活、易于修改等特点,可大大缩短研制时间,并减小系统硬件复杂度。本文采用美国ALTERA公司的MAX7000S系列CPLD芯片EPM7128SLC84,利用CPLD实现A/D变速率采样及其它逻辑控制。

1 系统组成及基本原理

本探测系统主要解决了嵌入式系统线路板面积有限与实时数据处理需要大量存储空间的矛盾,实现实时处理信号。

如图1所示,空间瞬态光辐射信号实时探测系统主要由三大模块组成:前级预处理电路模块、A/D变速率采样模块、DSP信号识别及存储模块。

各模块的主要功能为:

(1)前级预处理电路模块,负责空间瞬态光辐射信号的光电转换、背景扣除、动态范围压缩等任务;

(2)A/D变速率采样模块,负责触发信号产生、上升速率初判、信号采集时序控制、A/D变速率采样及FIFO缓冲存储等任务;

(3)DSP信号识别及存储模块,负责对空间瞬态信号进行快速识别处理,反演计算出能量大小,报告事件发生时刻并存储和传输数据;同时控制整个系统、并与PC机或其它系统传输数据发送。

2 前级预处理电路模块

2.1光电转换

由于空间瞬态光辐射信号速度快、动态范围大,故对光辐射探测器要求较高。本文采用日本滨松公司的S2387-1010R硅光电二极管,它具备灵敏度高、动态范围大、时间响应快和覆盖范围大等特性。

2.2 背景扣除

太阳光辐射能量比空间瞬态光辐射信号能量高几个数量级。对于系统而言,由于太阳光的影响,目标信号十分微弱,大多掩埋在强噪声之中。因此必须对强背景信号进行扣除处理,提取出有用目标事件瞬态信号。

在信号自动处理和分析技术中,强背景下弱信号的提取是一个难点。本文根据背景信号变化缓慢而目标信号变化快速的特点,采用高通滤波器对信号进行背景扣除。

高通滤波器在技术实现上可以采用数字电路,也可以采用模拟电路。为简化电路、减轻后续处理电路压力,本文采用电容、电阻等构建一个模拟高通滤波器进行背景扣除,其原理如图2所示。

由图

2可知,滤波器的传递函数为:

H(s)=R/[(1/sC)+R]=sRC/(1+sRC)

选择适当电阻、电容值即可实现对目标信号的背景扣除。

2.3 动态范围压缩

空间瞬态光辐射信号的动态范围太大,如果直接对其进行A/D转换,则A/D的量化分辨率至少要15bit,并且因bit数多而增加后级数字信号处理的数据量、降低系统的实时性。因此采用对数放大器对信号的动态范围进行对数压缩。采用12bit的A/D转换器即可满足要求,且减少了处理的数据量,提高了系统实时性。本文采用美国TI公司的TL441M对数放大器。它是由四级30dB对数放大器级联成的单片高性能对数放大器芯片,可以得到120dB的输入电压动态范围。

3 A/D变速率采样模块

3.1 阈值触发

如图3所示,经前级预处理后,目标信号进入阈值触发电路中的电压比较器。DSP设置阈值信号,锁存后经D/A转换输出到电压比较器,与输入的目标信号进行比较:若目标信号超过阈值信号,则产生触发信号并驱动时序控制电路及A/D转换电路工作;否则不工作。

3.2 CPLD控制A/D变速率采样

为了进一步减少信号处理的数据量,实现实时处理,本文采用了变速率采样的方法解决线路板面积有限与数据处理需要大容量存储空间的矛盾。

由空间瞬态光辐射信号特征可知,其初始值变化速度快,高频分量所占比重较大;而后面信号变化速度逐渐减小,越靠后信号越接近缓变信号,低频含量高。所以采用采样间隔逐渐增大的方法实现变速率采样。

如图4所示,初始采样频率为f,每隔M个采样点采样频率下降一半,一直到采样结束。在电路实现中采用的方法是:A/D转换器按照固定的转换速率进行模拟量到数字量的转换,而CPLD控制采样数据的变速率接收并存储至FIFO。

FIFO存储数据由其写使能控制信号WEN(低电平有效)决定:当WEN为低电平时,数据在每个写时钟信号WCLK的`上升沿写入FIFO;当WEN为高电平时,数据保持不变。因此,控制FIFO变速率接收数据即控制它的写使能信号WEN为低电平的间隔变速率变化。如图5所示,在CPLD中由写时钟信号WCLK每隔M点二分频后、再调整占空比即可实现WEN的时序信号。

CPLD对FIFO变速率接收采样数据的逻辑控制,用美国ALTERA公司的软件MUX+plus II可由三种方法实现:一是用计数器、分频器等画电路图实现;二是用VHDL语言或AHDL语言编程实现;三是输入时序波形文件实现。针对本系统而言,采取第二种方法较为简便,用VHDL语言编程实现的算法流程图如图6所示。

本文中A/D转换器采用美国AD公司的AD678,它是一个12bit的多用途A/D转换器,内部包括采样保持器、微处理器接口、基准电压源和时钟驱动电路,具有高可靠性和低功耗等特性。

3.3 由CPLD进行上升速率初判

目标信号幅度值从超过阈值起始点开始的一段时间内的上升速率是判断其能量范围的重要判据。因此电路中采用CPLD对A/D采样的数据做初步判断。当目标信号上升速率满足设定要求时,产生上升速率触发信号,并与其它结果做符合判定;否则丢弃当前数据,等待下一次探测数据。

3.4 FIFO存储

FIFO(First In First Out)是一种先进先出的存储器,即先读入的数据先读出。FIFO存储器自身的访问时间一般为几十纳秒。A/D转换器等外设速度一般比DSP慢。如果采用FIFO,A/D可以先将数据送往FIFO,一旦FIFO满,FIFO再向DSP申请中断。这样可以省去DSP等待与查询的时间,而且中断次数也可以减少,从而提高传输速度。

本系统中,FIFO作为缓冲存储器给上升速率初判电路和DSP处理器提供数据,同时作为变速率采样结果的暂存单元。本文采用美国IDT公司的IDT72XXX系列同步并行FIFO实现对数据的缓存。

4 DSP信号识别及存储模块

4.1 DSP处理及存储

目标信号自动识别能量范围和录取的核心是DSP信号处理模块。为了满足实时处理的要求,硬件的选取应以尽可能少的占用系统时间资源为基础。从这个基本原则出发,采用TMS320C32作为处理器。它是目前TI公司浮点DSP系列中性

价比较高、在国内已得到广泛应用的芯片。它的指令周期为33/40/50ns,具有丰富的硬件资源,如内部有512字节的RAM、串行口、分开的程序总线、数据总线和DMA总线等,并且外部存储器宽度可变、有程序引导(Boot-load)功能。在软件方面,它丰富的指令系统、灵活的程序控制、流水线操作和多样的寻址方式等特点使其特别适合于数字信号处理。

DSP处理模块主要由DSP、慢速EPROM、高速SRAM、绝对时钟芯片RTC(Real-Time-Clock)及RS232串口组成,其运行机制如图7所示。其中,选择慢速EPROM主要是为了降低系统成本,本文采用美国ATMEL公司的AT27C010芯片。用于存储程序和初始化数据。高速SRAM用于程序执行和数据的暂存,本文采用美国ISSI公司的IS61C6416芯片,它与慢速EPROM配合,既降低了系统成本,又能使程序快速运行,实现对信号的实时处理。

如图7,一旦目标事件发生,输入信号经A/D转换后,数据缓存在FIFO中,以备DSP调用。DSP上电复位后,将存储在慢速EPROM中的程序装载到高速SRAM中运行,对暂存在FIFO中的目标信号数据进行能量范围的识别和处理;然后从绝对时钟芯片RTC取得目标事件发生的时刻值,和处理结果一起存储在SRAM中;并将信号处理结果与发生时刻值从RS232串口输出到PC机。

如图8所示,系统工作流程是:空间瞬态光辐射信号经光辐射探测器转换为电信号,经前级预处理电路放大、去噪并压缩动态范围;若信号超过阈值,则阈值触发电路触发A/D采样后暂存在FIFO中,否则不触发A/D;由上升速率初判电路初步检测信号初始值的上升速率?熏当上升速率满足设定要求时,产生上升速率触发信号,否则丢弃当前数据;上升速率触发信号产生后,DSP从FIFO中取得数据,对信号进行模式识别和处理,存储处理结果并经接口电路传送到PC机。

4.2 绝对时钟芯片RTC

所谓绝对时钟是指不仅支持每天时间的更新,而且支持日期(世纪、年、日、星期)更新的一种永久性时钟电路。本文采用美国MOTORALA公司的DS12887时钟芯片,它对年、月、日、时、分、秒、星期进行自动记录,内含114字节的RAM单元和内置晶振电路,支持多种中断方式,备用电池可供其工作10年,是目前计算机上的主流实时时钟芯片。

4.3 RS232串口

由于RS232串口电平标准采用了负逻辑,与DSP的电平标准不兼容,所以采用RS232串口收发的数据需要进行电平转换。本文采用美国MAXIM公司的MAX232芯片作为电平转换器件,它仅需+5V电源,电平转换所需的±10V电源由片内电荷泵产生。

DSP芯片自带的串口为同步串口,而RS232信号是异步信号,故需外加异步串行通信接口芯片UART(Universal Asynchronous Receiver/Transmitter)。本文采用美国TI公司的TL16C550芯片,它具有全双工、双缓冲器发送器和接收器。如图7所示,UART接收DSP发送的处理结果和发生时刻值,存入自身所带的FIFO中,再通过MAX232进行电平转换,最后从RS232串口中输出到PC机。

10.dsp数字信号处理论文 篇十

一、实验目的

1、熟悉用双线性变换法设计IIR数字滤波器的原理与方法

2、掌握数字滤波器的计算机仿真方法

3、通过观察对实际心电图信号的滤波作用获得数字滤波的感性知识。

二、实验内容及原理

1、用双线性变换法设计一个巴特沃斯低通IIR数字滤波器。设计指标参数为在通带内截止频率低于0.2时最大衰减小于1dB在阻带内0.3频率区间上最小衰减大于15dB。

2、以0.02为采样间隔打印出数字滤波器在频率区间0/2上的幅频响应特性曲线。

3、用所设计的滤波器对实际心电图信号采样序列进行仿真滤波处理并分别打印出滤波前后的心电图信号波形图观察总结滤波作用与效果。教材例中已求出满足本实验要求的数字滤波系统函数 31kkzHzH 3211212121kzCzBzzAzHkkk 式中 A0.09036 2155.09044.03583.00106.17051.02686.1332211CBCBCB

三、实验结果 心电图信号采样序列 0510***0455055-100-50050nxn心电图信号采样序列xn 用双线性变换法设计IIR数字滤波器一级滤波后的心电图信号 0102030405060-100-80-60-40-2002040ny1n一级滤波后的心电图信号 二级滤波后的心电图信号 0102030405060-100-80-60-40-2002040ny2n二级滤波后的心电图信号 三级滤波后的心电图信号 0102030405060-80-60-40-2002040ny3n三级滤波后的心电图信号 用双线性变换法设计IIR数

验字滤波器滤代波器的幅频响应曲线 码 00.050.10.150.20.250.30.350.40.450.5-50-40-30-20-10010w/pi20lgHjw滤波器的幅频响应曲线

四、实x-4-20-4-6-4-2-4-6-6-4-4-6-6-261280-16-38-60-84-90-66-32-4-2-***00-2-4000-2-200-2-2-2-20 n0:55 subplot111 stemnx.axis0 55-100 50 xlabeln ylabelxn title心电图信号采样序列xn N56 A0.09036 20.09036 0.09036 B1-1.2686 0.7051 B11-1.0106 0.3583 B21-0.9044 0.2155 y1filterABx n0:55 figure subplot111 stemny1.xlabeln ylabely1n title一级滤波后的心电图信号 y2filterAB1y1 n0:55 figure 用双线性变换法设计IIR数字滤波器subplot111 stemny2.xlabeln ylabely2n title二级滤波后的心电图信号 y3filterAB2y2 n0:55figure subplot111 stemny3.xlabeln ylabely3n title三级滤波后的心电图信号 A0.09036 20.09036 0.09036 B11-1.2686 0.7051 B21-1.0106 0.3583 B31-0.9044 0.2155 H1wfreqzAB1100 H2wfreqzAB2100 H3wfreqzAB3100 H4H1.H2 HH4.H3 magabsH db20log10mageps/maxmag figure subplot111 plotw/pidb axis0 0.5-50 10 xlabelw/pi ylabel20lgHjw title滤波器的幅频响应曲线

11.dsp数字信号处理论文 篇十一

在数字图象的处理过程中, 不仅要处理较大的数据量, 而且计算方法复杂, 对于实时图象处理系统, 计算速度成为应用中的一个瓶颈问题。数字信号处理器 (DSP) 在结构、指令和速度方面的典型特点, 使其在图象处理领域得到了广泛的应用, 成为实时图象处理系统硬件结构的核心处理器。笔者采用以C64x为核心的DM642数字媒体处理器搭建硬件平台, 利用Matlab中的M文件S-function开发用户自定义算法模块建立复杂图象处理算法的Simulink模型, 实现了数字图象的高速处理, 并以Canny边缘检测算法为例进行了实验。

1 DM642简介

DM642建立在C64x DSP核基础上, 采用TI第二代高性能超长指令字结构VelociT1.2TM, 其每个乘法器在每个时钟周期内可执行2个16×16位的乘法或4个8×8位的乘法。DM642内含6个算术逻辑单元, 在每个时钟周期内都可执行2个16位或4个8位的加减、比较、移位等运算。在600 MHz的时钟频率下, DM642每秒可以进行24亿次16位的乘累加或48亿次8位的乘累加[4]。强大的运算能力, 使得DM642可以进行实时的多视频处理和图象处理。DM642还在C64x的基础上增加了许多外围设备和接口, 包括3个可配置的双通道视频端口Video Port, 其中每个Video Port又分成A和B两个通道。

2 硬件设计

基于DSP和S-function的数字图象处理系统的硬件结构如图1所示。图象采集和预处理单元采用Philip的SAA7115H芯片, 它支持的视频标准有PAL、NTSC和SECAM制式, 本文采用PAL制式。该单元主要完成对输入的模拟视频信号进行数字化及格式的转变;图象经预处理之后, 传输到DM642中, 通过已加载到DM642中的数字图象处理算法对图象进行处理;图象编/解码单元也采用Philip的SAA7115H芯片, 主要完成对处理结果的再编码任务, 形成标准的模拟视频信号, 可直接输出到显示设备上;FPGA单元采用Xilinx的XC2S300芯片, 主要控制系统的逻辑和图象数据的流向, 并且可加工DM642输出的图象数据, 进而将数据输出到图象编码单元。

此外, DM642芯片的内核时钟为600 MHz, 由外部50 MHz的晶振产生时钟源, 送到片内经过锁相环12倍频后产生600 MHz的时钟。

3 软件设计

目前, 在开发图象处理DSP芯片时, 常用的方法是采用C/C++ (或汇编) 语言编写图象处理算法, 经编译并下载到DSP中。本文采用一种在Matlab环境下的开发方法, 即采用Matlab M文件 S-function开发用户自定义的图象处理算法模块建立复杂图象处理算法的Simulink模型, 并利用Matlab中支持TI DSP的开发工具, 在Matlab环境下, 完成整个系统的算法设计及DSP实现。实践表明, 该方法开发效率远远高于传统的方法。

(1) 利用M文件S-function基本的程序框架资源, 在setup (block) 函数中设置实现数字图象处理算法S-function的基本属性, 如输入/输出端口的数目、对话框参数、其它选择等。然后利用block.RegBlockMethod (‘Outputs’, @Outputs) 和block.RegBlockMethod (‘WriteRTW’, @WriteRTW) 注册Outputs和WriteRTW两个模块方法。在Outputs模块方法中, 用M语言编写实现数字图象处理的算法。由于采用M文件S-function创建的Simulink模块无法直接生成支持CCS的C代码, 所以利用WriteRTW模块方法调用实时工作台和编写的系统TLC文件, 可以直接将自定义开发的Simulink模块生成支持CCS的C代码, 同时, 为了优化代码的执行, 通过block.SetAccelRunOnTlc (true) 将模块设置为加速器模式运行在TLC上。

(2) 调用Simulink中用户自定义库中的Level-2 M文件S-function模块, 将模块参数对话框的M文件名字域的参数mlfile改为编写好的实现数字图象处理的M文件名字, 这样就可将自定义算法的M文件与模块关联起来。

(3) 调用Simulink库中的其它相关模块, 建立系统的完整模型, 如图2所示, 其中Resize模块用于扩大和缩小图象, 使图象达到自定义算法所要求的大小, 便于处理;Level-2 M文件 S-function模块是系统的核心, 它包含了图象处理算法, 可实现对图象的处理功能;Matrix Concatenate模块用于连接相同数据类型的输入信号, 从而产生连续的输出信号, 实现图象处理结果的显示。

(4) 利用TI TMS320C6000TM DSP平台的嵌入式目标和Matlab与TI CCS 的接口, 直接在Matlab环境下完成整个算法的测试和调试, 以及自动编译、链接、生成可执行代码等任务, 并下载到DSP中进行快速算法实现。

4 实验结果

本文采用Canny边缘检测算法进行实验, Canny边缘检测算法原理如图3所示。

输入图象通过高斯函数undefined进行平滑处理, 抑制图象噪声, 其中, σ为平滑参数。σ较小时, 边缘定位精度高, 但图象平滑作用较弱, 抑制噪声的能力差;σ较大时, 导致高斯平滑模板增大, 不仅使边缘位置偏移严重, 还增加了运算量, σ一般取1.0~2.0。梯度计算完成对平滑后的数据阵列的梯度幅值和梯度方向的计算。非极大值抑制 (non-maximal suppression) 过程用于细化梯度幅值矩阵, 寻找图象中的可能边缘点。双门限检测则是通过双阈值递归寻找图象边缘点, 实现边缘提取[5,6]功能。

通过实验, 不同条件下Canny边缘检测算法的运行时间如表1所示。

由表1可看出, 当在DSP中全速运行Canny边缘检测算法时, 运行速度比单独在微机上运行提高了上百倍, 而且执行速度会随着DSP板主频的提高而提高。

5 结语

本文介绍了一种基于DM642和S-function的数字图象处理系统的硬件及Simulink模型的实现方法。该方法将DSP技术引入图象处理领域, 大大提高了数字图象的处理速度;利用Matlab中M文件S-function创建自定义算法模块, 可以减少开发人员的编程工作量, 简化复杂算法模型的搭建, 大大提高了开发效率。

摘要:文章以高性能数字信号处理器DM642为核心搭建了数字图象处理系统硬件平台, 采用Matlab中的M文件S-function开发了用户自定义算法模块并建立了复杂图象处理算法的Simulink模型, 实现了数字图象的高速处理, 并以Canny边缘检测算法为例进行了实验。实验结果表明, 在DSP平台中实现数字图象处理大大地提高了处理速度, 采用S-function自定义算法模块大大地提高了编程效率。

关键词:图象处理,数字信号处理器,S-function,DM642

参考文献

[1]胡琳静, 孙政顺.Simulink中自定义模块的创建与封装[J].系统仿真学报, 2004, 16 (3) :488~491.

[2]李颖, 朱伯立, 张威.Simulink动态系统建模与仿真基础[M].西安:西安电子科技大学出版社, 2004:252~256.

[3]李真芳, 苏涛, 黄小宇.DSP程序开发———Matlab调试及直接目标代码生成[M].西安:西安电子科技大学出版社, 2003:210~212.

[4]宋乐, 林玉池, 周欣, 等.基于DM642的红外图象处理系统的优化设计[J].中国图象图形学报, 2007, 12 (10) :1 901~1 904.

[5]王洪元, 周则明, 王平安, 等.一种改进Snake模型的边缘检测算法[J].南京理工大学学报, 2003, 27 (4) :235~240.

12.数字信号处理课程总结(全) 篇十二

以下图为线索连接本门课程的内容:

xa(t)数字信号前置滤波器A/D变换器处理器D/A变换器AF(滤去高频成分)ya(t)x(n)

一、时域分析

1. 信号

 信号:模拟信号、离散信号、数字信号(各种信号的表示及关系) 序列运算:加、减、乘、除、反褶、卷积  序列的周期性:抓定义

njwna、e(n)(可表征任何序列)cos(wn)u(n)、 典型序列:、、RN(n)、x(n)x(m)(nm)

m特殊序列:h(n)2. 系统

 系统的表示符号h(n) 系统的分类:y(n)T[x(n)]

线性:T[ax1(n)bx2(n)]aT[x1(n)]bT[x2(n)] 移不变:若y(n)T[x(n)],则y(nm)T[x(nm)] 因果:y(n)与什么时刻的输入有关 稳定:有界输入产生有界输出

 常用系统:线性移不变因果稳定系统  判断系统的因果性、稳定性方法  线性移不变系统的表征方法:

线性卷积:y(n)x(n)*h(n)

NMk差分方程: y(n)ak1y(nk)bk0kx(nk)3. 序列信号如何得来?

xa(t)x(n)抽样

 抽样定理:让x(n)能代表xa(t) 抽样后频谱发生的变化?  如何由x(n)恢复xa(t)?

sin[xa(mT)T(tmT)]

xa(t)=mT

(tmT)

二、复频域分析(Z变换)

时域分析信号和系统都比较复杂,频域可以将差分方程变换为代数方程而使分析简化。A. 信号 1.求z变换

定义:x(n)X(z)x(n)znn

收敛域:X(z)是z的函数,z是复变量,有模和幅角。要其解析,则z不能取让X(z)无穷大的值,因此z的取值有限制,它与x(n)的种类一一对应。

 x(n)为有限长序列,则X(z)是z的多项式,所以X(z)在z=0或∞时可能会有∞,所以z的取值为:0z;

 x(n)为左边序列,0zRx,z能否取0看具体情况;

 x(n)为右边序列,Rxz,z能否取∞看具体情况(因果序列);  x(n)为双边序列,RxzRx 2.求z反变换:已知X(z)求x(n)

 留数法

 部分分式法(常用):记住常用序列的X(z),注意左右序列区别。 长除法:注意左右序列 3.z变换的性质:

 由x(n)得到X(z),则由x(nm)zmX(z),移位性;  初值终值定理:求x(0)和x();

 时域卷积和定理:y(n)x(n)*h(n)Y(z)X(z)H(z);  复卷积定理:时域的乘积对应复频域的卷积;  帕塞瓦定理:能量守恒

nx(n)212X(ejw)dw2

4.序列的傅里叶变换

公式:X(ejw)x(n)enjwn

x(n)12X(ej)ejnd

注意:X(ejw)的特点:连续、周期性;X(ejw)与X(z)的关系 B. 系统

由h(n)H(z),系统函数,可以用来表征系统。

 H(z)的求法:h(n)H(z);H(z)=Y(z)/X(z);  利用H(z)判断线性移不变系统的因果性和稳定性  利用差分方程列出对应的代数方程

MNMy(n)ak1y(nk)kbk0x(nk)kY(z)X(z)bk0Nkzk

k1ak1zk 系统频率响应H(ejw):以2为周期的的连续函数

H(e)jwh(n)enjwn

H(ejw)h(n)enjwn,当h(n)为实序列时,则有H(ejw)=H*(ejw)

三、频域分析

根据时间域和频域自变量的特征,有几种不同的傅里叶变换对

 时间连续,非周期频域连续(由时域的非周期造成),非周期(由时域的连续造成); X(j)x(t)ejtdt

x(t)12X(j)ejtd

 时间连续,周期频域离散,非周期

X(jk0)1T0T0/2x(t)ejk0tdt

T0/2x(t)X(jk0)ejk0t

 时间离散,非周期频域连续,周期

X(e)jwx(n)enjwn

x(n)12X(ej)ejnd,wT(数字频率与模拟频率的关系式)

 时间离散,周期频域离散,周期

~X(k)N1n0~x(n)ej2Nkn~x(n)W

knNn0N11~x(n)NN1n0~X(k)ej2Nkn1NN1n0~knX(k)WN

 本章重点是第四种傅里叶变换-----DFS  注意:

x(n)和X(k)都是以N为周期的周期序列; 1)~x(n)和X(k)的定义域都为(,)

2)尽管只是对有限项进行求和,但~;

~~~例如:k0时,X(0)N1x(n)

n0~~k1时,X(1)N1n0~x(n)ej2Nn

2NNnN1~kN时,X(N)N1n0j~x(n)en02N~~x(n)=X(0)

~kN1时,X(N1)N1n0~x(n)ej(N1)n~X(1)

x(n)也有类似的结果。x(n)和X(k)一

同理也可看到~可见在一个周期内,~~一对应。

 比较X(e)jwx(n)enjwn~和X(k)N1n0~x(n)ej2Nkn~x(n)W,当x(n)knNn0N1x(n)的一个周期内有定义时,即x(n)=~x(n),0nN1,则在只在~N12Nj2Nk时,X(ejw)X(k)。

1,kr 0,kr~ en0(kr)nx(n)和X(k)的每个周期值都只是其主值区间的周期延拓,所以求和 因为~~在任一个周期内结果都一样。

 DFT:有限长序列x(n)只有有限个值,若也想用频域方法分析,它只属于序列的傅里叶变换,但序列的傅氏变换为连续函数,所以为方便计算机处理,也希望能像DFS一样,两个域都离散。将x(n)想象成一个周期x(n)的一个周期,然后做DFS,即 序列~

~X(k)N1n0~x(n)ej2NknN1n0x(n)ej2Nkn

x(n)只有x(n),不是真正的周期序列,但因为求和只需N注意:实际上~个独立的值,所以可以用这个公式。同时,尽管x(n)只有N个值,但依上式求出的X(k)还是以N为周期的周期序列,其中也只有N个值独立,这样将~X(k)规定在一个周期内取值,成为一个有限长序列,则会引出

N1j2Nkn~DFT X(k)x(n)en0RN(k)

x(n)1NN1n0X(k)ej2NknRN(n)

比较:三种移位:线性移位、周期移位、圆周移位

三种卷积和:线性卷积、周期卷积、圆周卷积

重点:1)DFT的理论意义,在什么情况下线性卷积=圆周卷积 2)频域采样定理:掌握内容,了解恢复

3)用DFT计算模拟信号时可能出现的几个问题,各种问题怎样引起?

混叠失真、频谱泄漏、栅栏效应

 FFT:为提高计算速度的一种算法

1)常用两种方法:按时间抽取基2算法和按频率抽取基2算法,各自的原理、特点是什么,能自行推导出N小于等于8的运算流图。2)比较FFT和DFT的运算量; 3)比较DIT和DIF的区别。

四、数字滤波器(DF)

一个离散时间系统可以用h(n)、H(z)、差分方程和H(ejw)来表征。问题:

1、各种DF的结构

2、如何设计满足要求指标的DF?

3、如何实现设计的DF?

A. 设计IIR DF,借助AF来设计,然后经S---Z的变换即可得到。

1)脉冲响应不变法:思路、特点 2)双线性变换法:思路、特点、预畸变 3)模拟滤波器的幅度函数的设计 B. 设计FIR DF 1)线性相位如何得到?条件是什么?各种情况下的特点。2)窗函数设计法:步骤、特点 3)频率抽样法:步骤、特点 C. 实现DF

Ma

标准形式:H(z)k0Nkzk

上一篇:上海服装行业分析下一篇:顶岗实习报告模板