数字逻辑实验教案

2024-10-07

数字逻辑实验教案(共11篇)

1.数字逻辑实验教案 篇一

数字电路中,最基本的逻辑门可归结为与门、或门和非门。实际应用时,它们可以独立使用,但用的更多的是经过逻辑组合组成的复合门电路。目前广泛使用的门电路有TTL门电路和CMOS门电路。

1、TTL门电路

TTL门电路是数字集成电路中应用最广泛的,由于其输入端和输出端的结构形式都采用了半导体三极管,所以一般称它为晶体管-晶体管逻辑电路,或称为TTL电路。这种电路的电源电压为+5V,高电平典型值为3.6V(≥2.4V合格);低电平典型值为0.3V(≤0.45合格)。常见的复合门有与非门、或非门、与或非门和异或门。

有时门电路的输入端多余无用,因为对TTL电路来说,悬空相当于“1”,所以对不同的逻辑门,其多余输入端处理方法不同。

(1)TTL与门、与非门的多余输入端的处理

如图1-1为四输入端与非门,若只需用两个输入端A和B,那么另两个多余输入端的处理方法是:

并联 悬空 通过电阻接高电平

请点击输入图片描述

图1-1 TTL与门、与非门多余输入端的处理

并联、悬空或通过电阻接高电平使用,这是TTL型与门、与非门的特定要求,但要在使用中考虑到,并联使用时,增加了门的输入电容,对前级增加容性负载和增加输出电流,使该门的抗干扰能力下降;悬空使用,逻辑上可视为“1”,但该门的输入端输入阻抗高,易受外界干扰;相比之下,多余输入端通过串接限流电阻接高电平的方法较好。

(2)TTL或门、或非门的多余输入端的处理

请点击输入图片描述

如图1-2为四输入端或非门,若只需用两个输入端A和B,那么另两个多余输入端的处理方法是:并联、接低电平或接地。

并联 低电平或接地

请点击输入图片描述

图1-2 TTL或门、或非门多余输入端的处理

(3)异或门的输入端处理

异或门是由基本逻辑门组合成的复合门电路。如图3.2.3为二输入端异或门,一输入端为A,若另一输入端接低电平,则输出仍为A;若另一输入端接高电平,则输出为A,此时的异或门称为可控反相器。

请点击输入图片描述

请点击输入图片描述

图1-3 异或门的输入端处理

在门电路的应用中,常用到把它们“封锁”的概念。如果把与非门的任一输入端接地,则该与非门被封锁;如果把或非门的任一输入端接高电平,则该或非门被封锁。

由于TTL电路具有比较高的速度,比较强的抗干扰能力和足够大的输出幅度,在加上带负载能力比较强,因此在工业控制中得到了最广泛的应用,但由于TTL电路的功耗较大,目前还不适合作大规模集成电路。

2.数字逻辑实验教案 篇二

关键词:教学改革,实验,数字逻辑电路,计算机专业

数字逻辑电路实验课程是电气、电子信息类和部分非电类专业本科生在电子技术方面入门性质的技术课。它在电类专业中深受青睐, 但在非电类专业中的教学没引起足够的重视。长期以来, 在我校计算机专业类数字逻辑电路实验的实验教学中, 出现实验教师难教学生厌学的现象。我们从学生学习该课程的现状着手, 通过对该课程的先导课程及后续课程进行调查分析, 了解相关理论课学习的状态, 并据此提出了相应的实验教学改革措施, 分三个阶段对学生的学习能力及动手能力进行培养, 我们称之为数字逻辑电路实验课程“过三关”[1]。

1 数字逻辑电路实验的教学改革思路

数字逻辑电路实验在计算机类专业都把它作为一门主干必修课程, 但相比专业课来说, 非电类专业对该课程地位认识和重视程度是不一样的, 普遍存在的一种现象是“重软件轻硬件”[2]。我校计科专业、网工专业的“数字逻辑电路实验”课, 安排在第三学期, 并具有第二学期的“模拟电子技术”课程的基础。而软工专业的“数电”课安排在第二学期, 并没有提前开设“模电”课程, 缺乏电路知识的先导。在总课时数压缩的情况下, 由于理论课和实验课安排在同一学期, 并在第一周同时开课, 实验课严重滞后于理论课的进度, 造成学生想要学好又觉得心有余而力不足[3]。

第一关:克服对数字电路实验课的心理恐惧关

对计算机专业的学生来说, 模拟电子技术和数字逻辑电路都很难学, 更难于精。适合计算机专业的专用教材很少, 更没有比较适合的实验教材。不得已沿用电类专业的教材, 理论偏多偏深。单纯的数字逻辑分析抽象、枯燥、乏味, 遇到复杂的逻辑现象更容易让人感到无从下手, 产生畏难情绪。例如:教材[4,5]的第二章逻辑门电路, 是学生们共同认为最难于理解、头疼困难的内容。在讲解TTL (Transistor-Transistor Logic) 基本逻辑门涉及到很多的电路基础知识、基本电路元件 (电阻、二极管、三极管等元件) 、电路及结构、半导体工艺、以及它们的电流、电压、元件参数等内部电气参数的计算等。对电路原理的理解和对电子元器件认识存在困难。然而, 计算机专业学习的重点并不在这些电路的内部原理和前端设计, 实验所必需的电路基础知识在课程中的应用暂时不用十分深入, 可以不用刻意去理解逻辑器件的内部结构。重点应放在:一是掌握器件输入和输出之间的逻辑功能;二是外部的电气特性其主要参数。相应的基本门电路实验, 目的包括掌握TTL基本逻辑门的逻辑功能验证与参数测试;掌握TTL器件的使用规则;进一步熟悉数字逻辑电路实验装置的结构、基本功能和使用方法。“轻里重外”, 将集成电路视为“黑匣子”, 这样电路基础知识不再构成计算机专业的学生学习的障碍。

在实验教学中, 改善实验条件, 增强实验教学的趣味性。让生活走进实验、贴近生活。理论实验化, 实验生活化。例如:逻辑门实验是认识数字电路的基本实验, 电子门铃的原理就是利用与非门构成振荡器, 使输出端的铃声信号输出, 从而驱动喇叭发出闹铃声的。除此之外, 实验还能进行趣味游戏如乒乓球游戏机等的设计。通过增加实验内容、改变实验方法, 多做实验来改变学生怕做实验的恐惧心理。

根据现在的理论课学时、教学计划和实验设备, 改编有关内容。以“与非门”逻辑为例说明改革实验教学方法。采用先理论讲解, 以逻辑代数为基本数学工具, 从基本逻辑门电路入手。实验使用传统标准数字逻辑器件四2输入与非门74LS00, , 用它构成传统的与非门验证实验。再用硬件描述语言VHDL (Very-High-Speed Integrated Circuit Hardware Description Language) [6]和复杂可编程逻辑器件CPLD (Complex Programmable Logic Device) [7]实验实现“与非门”逻辑。这样就建立了同一实际逻辑问题用多种不同层次方法进行实验的模式:数字逻辑单元理论设计, 以门电路为基本单元电路构成各种组合逻辑电路和时序逻辑电路, 使用标准数字逻辑器件中的中 (MSI) 、小规模 (SSI) 的TTL集成电路验证;利用通用集成电路模块产品, 主要是用中 (MSI) 大规模 (LSI) 集成电路模块, 构成预定功能的逻辑电路;再用VHDL和CPLD构成复杂的电路系统, 步步推进, 穿插进行融合。

第二关:培养动手能力关

从数字逻辑电路实验课程的知识结构和特点分析, 数字逻辑电路实验主要由基本逻辑门电路, 由门电路组成的基本组合逻辑电路和时序逻辑电路及通用集成电路模块构成。

在第一阶段为数字逻辑电路基础实验 (芯片级实验) 。由“一门而入”, 选用传统典型标准数字逻辑器件与非门, 进行基本门电路逻辑功能测试与验证, 通过实验使学生熟练掌握数字电路实验箱的结构和使用方法, 使用示波器记录描述逻辑功能的波形图, 实验基本仪器测试集成电路外部电气特性参数。掌握用与非门组成其它逻辑门及逻辑门之间的互换、解决不同门电路之间相互连接匹配问题。对集成门电路外形建立感性认识, 熟悉芯片的外形封装、芯片的引脚数量和分布情况。通过基础实验, 训练了学生的数字逻辑设计的基本功, 为综合设计性实验打下良好的基础。

第二阶段为综合设计实验 (单元级实验) 。主要有基本技能测试性综合实验、组合电路设计性综合实验、时序电路设计性综合实验、存储器和D/A或A/D转换电路的综合实验。

综合设计性实验主要是小系统逻辑设计实验[8], 每一个实验系统可以由多片标准数字逻辑器件MSI、MSI的门电路组成。也可以用通用集成电路中的MSI、LSI的TTL集成电路芯片组成。实验者可根据自己的设计做出不同种类的电路, 培养对单元功能电路的理解和灵活运用能力。例在传统数字逻辑电路实验中, 最为经典的例子是“三人表决器实验电路的设计”[9]。其中SSI门电路设计最为灵活, 可以选择一种与非门构成“与非-与非式”、一种或非门构成“或非-或非式”、与非门+或非门构成“与或非式”。也可以采用通用集成电路模块译码器、数据选择器和加法器分别设计多种三人表决器实验电路。

第三关:VHDL及CPLD实验提高复杂电路设计能力关

从第一、第二阶段实验的效果来看, 这些实验是在掌握SSI、MSI电路分析和设计的基础上进行, 达到预定的逻辑功能。这种方法设计的逻辑系统规模不宜太大, 否则, 系统需要很多芯片, 连接线和接点复杂, 导致可靠性下降、功耗增加, 系统占用空间扩大。为此, 可以采用大规模集成和超大规模集成技术, 把完成复杂功能的众多芯片集成到一个芯片内。可以克服上述问题。这种能够完成特定功能的集成电路芯片称之为专用集成电路。用VHDL语言设计后, 在CPLD中实现, 这已经成为数字系统设计的主流。

将新技术和新型电路设计的方法充实到教学中去, 以体现实验与时俱进的先进性。第三阶段的可编程器件的应用与可编程电路的EDA设计实验 (系统级实验) , 要求学生用CPLD芯片重现第一阶段的基础实验和第二阶段综合设计性实验中的电路设计。训练学生通过阅读资料掌握可编程器件的功能及规范的使用方法。掌握EDA软件的使用方法和设计语言。最终达到“了解一种器件, 熟练使用一种设计工具, 掌握一门设计语言, 能够设计较复杂的数字系统”的目的。

通过三个不同阶段的实验过程, 将一种数字逻辑器件的基础理论, 用传统器件实验验证或实现, 再用VHDL及CPLD实验复现, 三者融合循环, 螺旋式上升。实现数字逻辑电路实验的教学改革, 帮助学生突破在学习道路上的三道难关。

2 结论

侯建军教授提出了“厚理博术, 知行相成”的教育理念。通过数字逻辑电路实验, 既要加强知识的学习, 又要践行所学的知识, 提高实践动手能力和创新能力。根据学生的特点确定教学目标, 组织教学内容, 制定教学方法, 以学生为主体, “教法”适应“学法”培养学生的学习兴趣。倡导以启发、探索和创新性实验为核心的研究式学习方式, 鼓励学生参与国家级和校级的大学生创新创业项目, 并参加各种国家电子技能大赛, 取得很好的效果。

参考文献

[1]刘志军“.模拟电子线路”的“过三关”——谈“模拟电子线路”教与学[J].电气电子教学学报, 2002 (11) .

[2]杨汉祥.数字电路课程交叉知识的教学研讨与实践[J].赣南师范学院学报, 2005 (6) .

[3]管冰蕾, 胡家芬.计算机专业《数字逻辑》课程教学改革的研究[J].时代教育:教育教学版, 2009 (3) .

[4]侯建军.数字电子技术基础[M].2版.北京:高等教育出版社, 2009.

[5]侯建军.电子技术基础实验综合设计实验与课程设计[M].北京:高等教育出版社, 2009.

[6]Volnei A Pedroni.VHDL数字电路设计教程[M].北京:电子工业出版社, 2013.

[7]王诚, 赵延宾, 梁成志.Lattice FPGA/CPLD设计 (基础篇) [M].北京:人民邮电出版社, 2011.

[8]刘新元, 谢柏青“.数字逻辑电路实验”课程改革[J].电气电子教学学报, 2009 (4) .

3.《数字逻辑电路》教材改革浅析 篇三

一、压缩了传统内容,增加了新知识

劳动版《数字逻辑电路》第三版(以后简称三版教材)有8章,153页,包含18个实验。第1章删除了学生难以理解的RC瞬态过程,这个内容包括电容的充电和放电,时间常数与瞬态过程快慢的关系,积分电路、微分电路、引导电路等一度作为重点来介绍,虽然很重要,但也是难点,学生不易掌握,造成了课程刚开始学生就产生畏难心理,不利于后续章节的教学,删去这部分内容后,减小了教学难度,知识结构衔接更加合理,可以说为学生扫除了一个学习的障碍。在介绍逻辑门电路时,侧重集成TTL、集成MOS门电路,把分立MOS门电路略去,增加了门电路的应用,既压缩了篇幅,又拓宽了知识面。在讲解组合逻辑电路时,突出了组合逻辑电路的分析和设计,增加了新知识——只读存储器(ROM),这是数字电路的存储单元,是数字系统的重要组成部分,把组合逻辑电路的竞争冒险单独作为一节来讲,解决了学生在设计组合逻辑电路时,因为化简逻辑函数而导致的逻辑错误问题,而用数据选择器实现逻辑函数以及用译码器构成数据分配器,对开阔学生视野很有帮助。在介绍触发器时,沿着触发方式这个主线,不在按TTL和MOS来分别叙述,把主从RS和主从JK放在一节,删除了六门触发器,而强调了触发器的分类和转换,这部分内容改进较多,把知识点重新整合,既增加了内容,又减少了篇幅,为学生学习触发器的应用提供了方便,又便于老师教学,可以说是三版教材的一大亮点。对于时序逻辑电路的改进主要体现在设计方面,过去不讲时序逻辑电路的设计,增添这个部分,虽然起到了拓宽知识面的作用,但是对技校学生来说,设计起来还是比较困难,笔者在教学中,把它作为选学内容处理,只有个别学生对时序逻辑电路设计感兴趣,提出相应的问题。数模和模数转换是沟通模拟电路和数字电路的桥梁,通常称为接口电路,在数字系统中应用日益广泛,三版教材对这个新内容单独在第7章进行了详细的分析,解决了模拟信号的数字化和数字信号模拟化问题,为数字电路处理模拟信号提供了依据。最后又专门新增加第8章来介绍数字集成电路的应用,分析了数字系统的组成,探讨了交通信号灯控制电路和数字式测速仪的设计、组装、与调试两个实例,为提高学生的动手能力和想象空间打下了坚实的基础。

二、突出了实训

三版教材一个突出的特点就是大量增加了实验内容,从二版的7个实验增加到18个,通过实验,学生可以很好地巩固所学的理论知识,开阔视野,发现问题,探索解决的办法,真正做到理论和实践相结合。在带领学生实验实训的过程中,笔者主要是启发学生扩大知识面,要求学生自己动手,从理论出发,结合具体电路,引导学生更全面地理解数字电路的内涵,独立完成数字电路的设计、安装与调试,并能够分析可能出现的各种问题。从数字实验仪器的使用,到各种门电路的特性测试,用不同的门电路实现逻辑功能,设计与调试数字电路,各种组合逻辑电路的结构和应用,时序逻辑电路的应用与调试,再到A/D和D/A转换实验、数字电路的综合应用等,学生们产生了强烈的求知欲望和探究心理,上实验课的积极性空前高涨。有时是单个实验,有时是一个知识模块作为一个课题,突出了技工教育强调实际工作能力的特点,理论紧密联系实际,符合学生的认知规律,通过实例,让学生学会实验仪器的使用,用数字电路器件构成简单的数字系统,最后设计制作出一个复杂的实用型数字系统,使学生全面掌握该课程的学习规律,并着重培养学生的自学能力,为今后继续学习打下良好的基础。

三版教材配套的《数字逻辑电路第三版习题册》精选了大量的习题,题型丰富,难易适度,为学生学习和教师授课提供了方便,但也有个别习题逻辑不够严密,如第1章第2节第三大题第六小题,把下列码转换为十进制数第一题,(111 0100)8421BCD =( )10,笔者认为,少了一个0,应为(0111 0100)8421BCD =()10。希望电子类教材改革的步伐不断加快,推动职业技术教育全面快速发展。

4.数字电路与逻辑设计实验报告 篇四

课程名称

数字电路与逻辑设计

计算机科学与技术

09030234

指导教师

成绩

2010年 年 11月 月 10 日

实验题目:

译码器、数据选择器及其应用

一、实验目的 1、掌握中规模集成译码器与数据选择器的逻辑功能与使用方法

2、熟悉数码管的使用 3、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 1 1、中规模集成译码器 74 LS 138

74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。图-1是其引脚排列。其中 A2、A1、A0为地址输入端,0Y~ 7Y为译码输出端,S1、2S、3S为使能端。

图-1 74LS138真值表图-2如下:

图-2 74HC138工作原理为:当S1=1,S— 2+S — 3=0时,器件使能,电路完成译码功能,输出低电平有效。当S=0,S— 2+S — 3=X时,或S1=1, S— 2+S — 3=1,译码器被禁止,所有输出同时为1 2 2、双4 4 选1 1 数据选择器

74LS153 ?

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图-3所示,功能表如图-4所示。

图-3

输入 输出 S—

A1 A0 Q 1 0 0 0 0 X 0 0 1 1 X 0 1 0 1 0 D0 D1 D2 D3 图-4

1S—、2S — 为两个独立的使能端;A1、A0为两个公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。

当使能端1S—(2S —)=1时,多路开关被禁止,无输出,Q=0。

当使能端1S—(2S —)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。3、8 8 选1 1 数据选择器 74LS151

74LS151为互补输出的8选1数据选择器,引脚排列如图-5所示,功能表如图-6所示。

图-5

图-6 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S— 为使能端,低电平有效。

使能端S— =1时,不论A2~A0状态如何,均无输出,多路开关被禁止。

使能端S— =0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。

三、实验设备及器件 ●

硬件:PC机一台 ●

软件:QuartusⅡ5.0集成开发环境 四、实验内容 1.使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC 2.使用74LS151实现逻辑函数 F=AB’+A’B+AB 3.使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

五、实 验过程 1、使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC ① 由74LS138功能表(图-1)可知电路图连接如图-7所示

图-7 ② 经编译检查无错(图-8)

图-8

③ 对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-9

图-9 2、使用74LS151实现逻辑函数F=AB’+A’B+AB

①将输入变量C、B、A作为8选1数据选择器的地址码A2、A1、A0。使8选1数据选择器的各个数据输入D0~D7分别与函数F的输出值一一对应,即A2A1A0=CBA、D0=D2=D3=0、D0=D4=D5=D6=D7=1则输出Q便实现了函数AB’+A’B+AB接线图如图-10

图-10 ②经编译检查无错(图-11)

图-11 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-12

图-12 3、使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

①函数F有3个输入变量A、B、C,而数据选择器有2个地址端A1、A0少于数据函数输入变量个数,在设计时可任选A接A1,B接A0。接线如图-13

图-13

②经编译检查无错如图-14

图-14 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-15

5.数字逻辑 篇五

一.选择题

1.将逻辑表达式“ ”化简为逻辑表达式“A”,需使用公式化简法中的()。

A、并项法B、吸收法C、消去法D、配项消去法

2.逻辑代数中的三种最基本的逻辑运算是()。

A、与、与非、或非B、与、与或非、异或

C、与、或、非D、与非、与或非、异或

3. 的相邻最小项的是()。

A、B、C、D、4.在何种输入情况下,“或非”的运算结果不是“0”,()。

A、全部输入为“1”B、任一输入为“1”

C、全部输入为“0”D、任一输入为“1”,其他输入为“0”

5.在下列逻辑电路中,不是组合电路的有().A、译码器B、编码器C、全加器D、寄存器

6.要使3:8线译码器(74LS138)能正常工作,使能控制端G1、G2A#、G2B#的电平信号应是()。

A、100B、111C、011D、000

7.七段数码显示管中,共阴极电路的所有发光二极管输出端均是()

A、逻辑1B、逻辑0C、高阻态D、接地

8.一个32路的数据选择器,最合适的地址输入端的是()

A、4B、7C、8D、5

9.组成一位的十进制计数器,至少需要()个触发器。

A、4B、3C、6D、5

10.计数器的模是()

A、触发器的数量B、序列中实际状态数C、每秒循环次数D、可能的最大状态数

11.移位寄存器由()组成A、锁存器B、触发器C、一个字节存储器D、4位存储器

12.移位寄存器不能实现的功能是()

A、实现信号的并行到串行的转换B、实现信号的串行到并行的转换

C、对时钟信号进行分频D、对输入信号进行编码

15.对于J-K触发器,当J=0、K=0,则CP脉冲作用后,触发器的次态是()。

A、B、C、A或BD、16.使用256X1位ROM芯片组成1024X8位存储器,需要ROM芯片()片。

A、10B、32C、16D、64

17.用PLD开发软件输入逻辑设计的两种方式是()。

A、文本和数字B、文本和原理图C、原理图和代码D、编译和排序

18.VHDL属于()。

A、可编程逻辑B、硬件描述语言C、可编程阵列D、逻辑数学算

二.理解基本内容(看每章后的小结)

1.数字电路常用的描述工具有哪些?

2.在逻辑函数中,基本的逻辑运算有哪些?可以组合哪些运算?

?列举你曾学过的组合电路。

4.什么是译码器?它是如何工作的?七段译码器如何工作?

5.时序电路的特点是什么?结构怎样?

6.典型的触发器JK、D的方程是什么?它的工作状态有哪些?状态是如何变化的?

7.计数器的模的概念及如何计算的?X次分频如何产生相应的模?其频率怎么设置?

8。SRAM、DRAM的存储机理是什么?

9.存储逻辑是什么的产物?FLASH的特性怎样?

10.PLD、FPGA、ISP、VHDL涵义

三.公式法、卡诺图化简以及相关证明

看作业

四.分析设计

1.组合电路

三人表决器、路灯控制、电影院门口大人、小孩进入的自动检测等

2.时序电路

作业

3.VHDL的简单语法表达

数字逻辑复习纲要

第一章 逻辑代数

一、基本概念(P30)

1.逻辑函数的描述方式及常用工具;

2.逻辑代数三种基本逻辑运算(与、或、非).二、公式、卡诺图的化简方法

1.最小项,相邻最小项

2.公式(P16—P17)

3.卡诺图(结构、化简方法)

三、本章作业

第二章 组合逻辑

一、组合逻辑的组成与特征

二、组合电路的构件

1.列出相关电路

2.译码器

74LS138工作时,功能:每输入一组不同的代码,只有一个输出有效.3.七段数码显示器

SM 4205共阴阴极接地

4.数据选择器

例:32选1----5根控制线(选择控制信号)

三、本章作业

第三章 时序逻辑

一、时序电路的组成、功能、特点

触发器(F/F),锁存器

二、触发器(F/F)特点

有两个稳态,计数器的模触发器(F/F)的个数.三、移位寄存器

功能:串并转换、分频

四、S-K触发器,D触发器的方程

五、序列检测

六、本章作业

第四章 存储逻辑

一、存储逻辑的组成二、SRAM和DRAM的存储机理

Flash闪存

RAM、ROM芯片实例分析

例:1024×8位ROM芯片:

数据线8位

地址线10位()

控制线2位(1位读写线、1位片选线)

四、有关名词

例:PLD(Programmable Logic Device可编程器件)

6.数字逻辑发展历程 篇六

数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。

从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃.数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。

逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。

7.《数字逻辑》课程实践教学初探 篇七

《数字逻辑》课程建设大纲中, 除要安排理论教学外, 还需有大量的实践环节, 实践是本门课的重要组成部分, 实践不仅是巩固、复习课堂知识的手段, 更是学生学习新的操作技术、总结、调整学习方法的手段。通过实验, 使学生学会查阅产品手册、从而巩固、加深和拓宽学生对课程内容的理解, 培养分析、设计和调试数字系统的能力。与其它基础课相比, 《数字逻辑》的实践教学显得更加重要。它直接关系到计算机专业核心课程《计算机组成原理》的学习, 是其前序课程。为计算机专业学生硬件动手能力的培养打下基础。本文对怎样组织、设计实践教学内容和方法, 让学生在有限的时间内取得最佳的学习效果进行了研究。

1、课程建设大纲的设计

每门课程的教学都是在课程建设大纲的指导下完成的。从理论教学到实践教学, 一环扣一环。《数字逻辑》既然是一门实践性很强的课程, 其课程建设大纲的设计所围绕的主题应该是, 全面培养学生综合运用所学知识的能力, 收集和处理信息的能力、分析和解决问题的能力以及团结协作的能力。在这个主题的指导下, 我们安排了课堂教学, 实验教学和课程设计三个教学环节。其中课堂教学46学时, 实验教学8学时, 课程设计二周 (集中) 。实践教学与理论教学的比例几乎达到1:1。理论是基础, 实践则是巩固所学知识, 加深理解课程内容的重要环节。要重视理论、加强实践, 使二者紧密配合起来。

2、实验教学的设计

实验有二大类, 一类是验证性实验, 第二类是应用性实验。这二类实验的前提是认为学生已经掌握所涉及的知识, 通过实验, 巩固、加深和拓宽学生对课程内容的理解。在《数字逻辑》实验教学的8个学时中安排一个学时为验证性实验, 7个学时为应用性实验。具体安排如下:

实验一:逻辑门的测试与组合电路的设计。

实验二:代码检测器的设计。

实验三:算术运算电路设计。

实验四:控制电路设计。

实验一由二部分组成, 一部分"逻辑门"测试属于验证性实验, 要求学生了解和掌握"与非"门电路的外部特性及逻辑功能。另一部分组合电路的设计要求学生掌握组合电路的设计与验证方法。

实验二代码检测器的设计, 其目的是检所设计的同步系列检测器, 加深对同步时序逻辑电路的认识。

实验三算术运算电路设计, 其目的是熟悉并掌握用中规模集成电路设计逻辑电路的方法。

实验四:控制电路设计, 其目的是了解控制器的基本结构, 进一步理解控制器在数字系统中的作用。

实验一中的组合电路的设计及其后的实验属于应用性实验, 对于应用性实验, 要求学生在实验前做好如下工作:

⑴根据实验目的, 要求及内容, 认真复习有关的理论知识, 并写出满足实验内容要求的逻辑函数表达式。

⑵根据实验所提供的集成电路组件, 将输出函数表达式转换成适当形式。

⑶写出实验预习报告, 写出实验预习报告是实验操作的依据, 要求报告尽可能写得简洁, 思路清楚, 一目了然。实验预习报告以实验逻辑图为主, 附以简要的文字说明, 并拟好实验步骤以及记录实验结果的有关表格。

这种设计改变了过去实验多采用验证性实验, 不能引发学生对学习的兴趣和热情又考虑到循序渐进, 由浅入深。

自主型探索式课程设计

课程的安排要有利于培养学生独立思考的习惯, 激发学生的创新意识, 训练学生的实践能力。而这种能力意识的培养, 不在于某项具体知识的学习, 而在于怎样去获取知识的途径。《数字逻辑》课程设计这一教学环节安排成探索性实验, 探索性实验具有形象、具体、新奇的特点, 能引发学生学习的兴趣和热情。其的目的不是为了获得或证实某一结果, 而是通过摸索体现科学研究的过程, 学习科学研究的方法, 弥补前序实验的不足。它的意义在于给予学生自由探索和创造的机会, 以养成科学精神和科学态度。

课程教学的基本要求

1) 制定出设计方案;

2) 选定合适的器件, 画出逻辑图;

3) 画出集成电路芯片布局布线图;

4) 安装、调试通过;

5) 写出设计报告并提出改进意见

在两周的集中教学时间内, 课程的教学主要内容有:

1) 设计并制作一个带有校时功能、可定时起闹的数字钟, 要求满足:

(1) 计时以一昼夜 (24小时) 为一个周期;

(2) 有"时"、"分"的十进制数字显示, "秒"信号驱动发光二极管作为"时"、"分"显示的小数点;

(3) 具有校时功能, 可在任何时候将其拨至标准时间和指定时间;

(4) 可按时起闹, 根据设定的起闹时间按时鸣叫, 鸣叫时间为10~20秒 (用户可在此范围内调整) ;

(5) 能进行日历显示和语音报时。

2) 设计一个运算器, 要求:

(1) 能进行8位的加、减、乘、除四则运算;

(2) 能显示操作数和运算结果

(3) 源操作数和目的操作数可在四个不同寄存器之间随意选择

(4) 能提供"溢出"报警信号

要求学生查手册比较相关器件的差别, 选定合适的器件, 制定出设计方案;画出逻辑图;画出集成电路芯片布局布线图;安装、调试通过并比较其它不同的设计方案, 写出计报告并提出改进意见。

学生预先并不知道该使用那些器件, 各种相似器件之间的差异, 设计方案是否成功, 需经过认真的思考、记录和分析做出判断, 而且在课程内容上只提出基本设计要求。只有达到基本要求, 才能够得70分。留30分的余地给学生发挥想象力, 扩充电路功能。

4、结束语

通过实践证明, 《数字逻辑》课程大纲中实践环节的设计是合理的, 全面培养了学生综合运用所学知识的能力、收集和处理信息的能力、分析和解决问题的能力, 语言文字表达能力以及团结协作的能力, 为计算机专业学生硬件动手能力的培养打下了基础。

摘要:本文探讨了《数字逻辑》课程大纲中实践教学环节的结构、内容和设计思想, 介绍了实践教学环节的具体设计方法。实践证明, 该方案为计算机专业学生硬件动手能力的培养打下了基础

关键词:实践教学,验证性实验,应用性实验,探索性实验

参考文献

[1].欧阳星明.《数字逻辑》 (面向21世纪) [M].武汉:华中科技大学出版社

[2].[美国]纳尔逊.《数字逻辑电路分析与设计》[M].北京:清华大学出版社

8.浅谈数字逻辑的教学改革 篇八

关键词:数字逻辑;教学改革;教学方式

一、数字逻辑的性质和教学目标

信息时代的发展和科学技术的进步,对传统的教学方式和人才培养提出了挑战。如何为当今社会培养出基础理论扎实,又具创新和实践能力的高素质人才,是高校当前面临的重大考验。数字逻辑,是信息学科学生必修的一门重要专业基础课,而如何学好这门逻辑和实践性较强的课程,是本文探讨的主要问题。从我校的本科教学计划来看,“数字逻辑”的教学目标是培养学生掌握数字系统分析与设计的基本知识与理论,掌握组合逻辑电路和时序逻辑电路的设计方法,为数字计算机和其他数字系统的硬件分析与设计奠定坚实基础。所以它在整个计算机硬件方面的学习中具有极为重要的地位。近年来,随着高校的扩招,学生呈现出基础知识薄弱、知识接受能力较差的状态。针对以上问题,笔者结合学校实际情况,积极针对数字逻辑这门课程探索教学改革的方法,争取为社会培养出合格接班人。

二、教学内容的改革

1.合理设计教学内容

对数字逻辑这门课,高校一般排的课时非常有限,在短时间内完成这门课的全部教学非常困难,而且“满堂灌”的方式显然已不适合现代教学的发展,所以教师在教学过程中应去粗取精。如,本门课程的集成门电路章节,如果要花时间把集成门电路的内部电路结构讲清楚,相当于要把模电的基础知识再讲一遍,这增加了课堂教学的负担。笔者认为,对数字逻辑这门课,应加强集成、削弱分立;抓住这门课的精华部分重点讲解,注重定性分析,尽量缩减烦琐公式推导之类的讲解;而对于一些可以自学的知识应当放手让学生自学,这样一来,可以培养学生的自学能力,正如“师傅领进门,修行靠个人”所讲的那样,但前提是要把主要内容与学生探讨清楚。

2.抓住课程内部规律

数字逻辑这门课的教材编排是分章节的,前后章节有着承前启后的联系。在教学中,往往是教师按部就班地依章节上课,而学生也意识不到前后知识的联系,学生所学的只是分散的而不是系统的知识。所以,教师在教学的时候要多引导学生,把知识前后串通,让学生能综合运用自己所学的知识解决问题,做到举一反三。如,在时序逻辑电路的设计中,学生学习过如何设计多进制同步计数器,当要求设计一个同步序列发生器的时候,有些学生就有点搞不清楚,以为又是一个新知识点。

3.注重教学实践应用

高校教学往往都是综合教学,对于数字逻辑这门课,学生要学习数字逻辑知识,如逻辑代数、卡诺图、组合逻辑电路、时序逻辑电路等理论知识,还要注意数字逻辑是一门实践性较强的课程,要注重课堂教学与实践应用的结合。如,在讲解组合逻辑电路时,可以举一些实际应用的例子,如密码锁电路、报警电路、控制电路等,这样不但激发了学生的学习兴趣,还大大提高了其实践能力。

三、教学方式的改革

1.传统教学方式在数字逻辑课程中的回归

多媒体技术在现代教学中起到了举足轻重的作用,可多方面地优化课堂。而教师不恰当地使用多媒体,致使现代大学生出现“眼高手低”的现象,使其在做学问时易在细节上犯错,不能形成严谨的科研态度。所以,在多媒体风靡的当代,笔者认为,教师应做到将传统教学方式“板书”和多媒体技术相结合。

2.使用新兴教学手段——网络教学

本科教学环境的开放,使得学生与教师的交流仅限于课堂几小时,课下面对面交流的机会甚少。借助于网络迅猛发展的态势,学校可以建立校园内部网,为师生提供交流学习的平台。通过在平台上设置网络区域,师生就可以实现网络资源共享。学生可下载多媒体课件自学,教师也可通过此平台了解学生动态,从而有效调整数字逻辑的教学计划。

四、结语

在教学过程中,教师虽然都在大力倡導创新,但笔者认为打牢基础是关键。教师通过合理安排教学与自学,结合传统教学方式,重点讲解疑难问题,设置阶段性测试与实践设计等教学环节,有效加强教学效果。如果教师能通过各种教学方式,使学生体会数字逻辑这门课的内在精髓,那么学生学习这门课将不会感到枯燥,不但可以提高理论分析能力,还可以养成科学研究的态度。

参考文献:

[1]武庆生,邓建.数字逻辑[M].北京:机械工业出版社,2007.

[2]邓水先.《数字逻辑电路》课程的教改探索[J].职业教育研究,2008(8):68-69.

基金项目:多尺度实验教学模式的构建与探索。

9.数字逻辑电路学习总结 篇九

号:

、姓

名:

院:

业:

数字逻辑电路学习总结

经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础

1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性)2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。

3.数制转换(二进制、八进制、十六进制、8421BCD码)

十~二:右→左,每三位构成一位八进制,不够补0

二~八:右←左,每一位构成三位二进制

八~二:右→左,每四位构成一位十六进制,不够补0

十六~二:右 →左,每一位构成一位二进制

十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=1 0)

5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或)

与门:F=ABC

或门:F=A+B+C

非门:F|

与非门:(AB)| 或非门:F=(A+B)| 异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系)8.逻辑函数化简(代数法和卡诺图法)卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格

二:组合逻辑电路

1.组合逻辑电路的分析与设计

任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路

分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位)

3.编码器(二~十进制编码器P120、优先编码器P134)8-3优先编码器

10-4优先译码器

4.译码器(二进制编码器P140、二至十进制译码器P143)3-8译码器

5.数据选择器

4选1数据选择器 8选1数据选择权

三:触发器

1.触发器 逻辑功能可分:

RS触发器 D触发器 JK触发器 T触发器 T’触发器 触发方式可分:

电平触发器 边沿触发器 主从触发器 电路结构可分:

基本RS触发器 同步触发器 维持阻塞触发器 主从触发器 边沿触发器 2.触发器的转换

公式法和图形法(了解触发器的逻辑符号,对比表达式的特性,画出逻辑图)

说明:真值表

表达式

约束条件

CP脉冲有效区

实现的功能

各触发器的转换波形图的画法 四:时序逻辑电路

1.同步时序逻辑电路的分析与设计

分析:确定电路组成→写出输出函数和激励函数的表达式→电路的次态方程→作状态表和状态图→做出波形图→功能描述→检查电路是否能自启动

设计:确定输入、输出及电路状态来写出原始状态表和原始状态图化简原始状态表(可用卡诺图化简)→进行状态赋值(写出真值表)→选择触发器

2.异步时序逻辑电路分析

写出激励函数表达式→写出电路的次态方程组→作状态表→做时序图,说明电路功能

3.计数器

同步计数器:同CP

异步计数器:不同CP 写出时序方程、输出方程、驱动方程→次态方程→状态计算,列出状态表→画出状态图

功能描述:其实数字电路在我们生活中有很大的作用,在人们的日常生活中,常用的计算机,电视机,音响系统,视频记录设备,长途电话等电子设备或电子系统,无不采用数字电路或数字系统数字电子技术的应用。关于数制和码制学习,主要涉及进制之间的变换,转换等。当然也强调了二进制的各种运算,以及源码反码补码运用等。几种常用的编码,我们主要学的是BCD码,还有余3码。

如果说关于数制和码制学习还看不出和数字电路有何关系,接下来的逻辑代数基础这章更加靠近我们之后的数字电路学习了,对于数制仅仅只是工具。各种真值表,门电路,逻辑方程等等都全面。本章也有很多需要去记忆的公式定理,比方说基本公式,常用公式以及逻辑代数的基本定理等等。

逻辑函数的表示方法有这几种:

1、逻辑真值表

2、逻辑函数式

3、逻辑图

4、波形图,这些表示方法之间是可以互相转换的。

逻辑函数的两种标准形式,最小项和最大项,我们用最小项用的是最多。由于随着课程学习的深入我们遇到的逻辑函数表达式越来越复杂,自然需要化简来实现公式的简化,电路的简化,于是我们学习到了卡诺图化简法,用卡诺图化简法大大提高了我们化简的效率和准确率。

在一些实际电路中我们并不需要一些变量,这些变量或许会影响我的结果或者也不影响,这些变量统称为无关项,在函数表达式中我们称之为约束项和任意项。对于无关变量的作用,通常用于化简以及之后的消除竞争——冒险现象等。

我们有了逻辑代数这一直接数字电路基础,之后的组合逻辑电路和时序逻辑电路的分析和设计,便更加明确和逻辑。

组合逻辑电路学习我们才真正意义上开始接触逻辑电路。组合逻辑电路的逻辑功能是任意时刻的输出仅仅决定于该时刻的输入;电路结构则是不含有记忆器件。逻辑功能的描述和之前学习表示方法一致,真值表,逻辑方程,逻辑图和波形图。对于组合逻辑电路分析方法则是:①逐条写出电路输入到输出的逻辑函数式;②用公式化简法和卡诺图化简法让函数式化简;③为了更加直观可以转换为真值表形式;④最后分析结果。组合逻辑的设计方法步骤:先逻辑抽象,再写逻辑函数式,然后选择器件类型,转化适当形式。

主要的基本组合逻辑电路不多,比如:普通编码器,优化编码器,译码器,显示译码器,数据选择器,加法器(全加器,半加器,一位加法器,多位加法器,多元加法器,超前进位加法器),数值比较器等等。这些都是我们很常用而且很基本的组合逻辑电路。

10.数字逻辑2013考试复习重点 篇十

 分数比例:教材第1~3章、后续章节各约占50%。

 题型包括:

 单选题(1’×15=15’)

 判断题(1’×15=15’)

 填空题(2’×10=20’)

 综合题(5’+5’+16’+16’+8’=50’)

 各章习题必须掌握。

 第1章

 1.1-1.2熟练掌握

 1.3熟练掌握各种逻辑函数表示及简化方法

 第2章

 2.1-2.3熟练掌握

 2.4熟练掌握组合逻辑电路的基本设计方法,掌握利用译码器或数据选择器实现组合逻辑电路的原理与方法。

 第3章

 3.1-3.2掌握

 3.3掌握时序逻辑电路的基本分析方法。

 3.4熟练掌握寄存器、计数器的分析与设计方法,掌握用清零法和置数法设计设计N进制计数器。

 3.5掌握基本的时序电路设计方法,会画状态图。

 3.6掌握各种基本概念。

 第4、5章

 Verilog的基本概念

 熟练掌握各种Verilog的基本操作符、常用系统任务与函数。 熟练掌握各种基本语句与编程风格。

 掌握Libero(特别是ModelSim)的基本使用方法、流程和特点。 第6章

 掌握各种基本组合电路的Verilog程序实现。

 掌握加法器、乘法器、补码生成等电路的Verilog实现方法。 第7章

 掌握各种基本时序电路的Verilog程序实现,包括各类寄存器和计数器。 熟练掌握FSM的概念和属性。

 熟练掌握FSM的设计方法和Verilog实现(1、2、3-always),会根据状态图编写程序。

 考试范围包括但不限于上述知识点。

11.实验01 基本逻辑门电路实验 篇十一

一、实验目的

1、通过实验学习掌握Quartus II软件的基本操作流程。

2、通过实验理解全加器电路的设计方法,并掌握在Quartus II软件中通过绘制电路图的形式进行芯片设计的过程。

3、学习Quartus II软件的“仿真”功能。

二、实验步骤

1、在“我的电脑”中新建一个目录。(注意:目录尽量建立在自带的U盘上,以防实验工程被还原)

2、打开QuartusII软件,点击菜单中的“File->New Project Wizard”选项,启动新建工程向导程序,新建一个Quartus II工程。工程文件保存在第1步创建的目录中,工程命名为:“Exp01”。

图1 新建工程向导启动

图2 向导开始直接点击“Next”按钮

图3 向导第1步,设置工程的路径和工程名

向导第2步的设置是向新建工程中导入已经存在的设计文件,这里不用导入所以直接点击“Next”按钮跳过这一步。

向导第3步选择FPGA芯片,这里要按照实验箱上的芯片型号选择:Family选择“Cyclone II”,Available devices选择“EP2C5T144C8”,其它地方保持默认选择。

图4 向导第3步设置工程用芯片

向导程序第4、5步不用做设置,直接点击完成按钮就可以完成工程的建立了。

图5 工程建立完成,Project Navigator出现工程列表

3、点击菜单“File->New”选项,打开新建文件窗口,选择“Design Files->Block Diagram/Schematic File”,再点击“OK”按钮,创建一个电路图设计文件。

图6 新建文件窗口

4、点击菜单“File->Save As”选项,将新建的电路图设计文件保存在工程目录中,注意:文件名要与工程名保持一致:Exp01.bdf。

图7 新建文件保存

图8 文件名与工程名保持一致

5、点击设计文件窗口上的“Symbol Tool”工具按钮,如图所示:中输入“xor”异或门,单击“OK”按钮。

。弹出组件浏览窗口。在窗口的Name文本框

图9 空白电路设计文档上的“Symbol Tool”按钮

图10 组件浏览窗口

6、这时的鼠标光标会变成异或门的样子,在电路图设计文件的空白处点击鼠标左键,就可以向设计文件中添加一个异或门,添加过程可以连续进行。如果点击键盘上的“Esc”按键,鼠标恢复到箭头图案,添加操作结束。

7、用同样的办法,我们再向设计文件中添加两个“输入input”和一个“输出output”组件。然后将电路连接如下图11所示。连线需要点击设计文件窗口的“Orthogonal Node Tool”工具按钮,然后在设计文件空白处,按下鼠标左键不松开,移动鼠标就可以将连线绘制出来,按照图11将添加的远件连接起来。可以通过双击组建弹出“Pin Propertis”窗口,这个窗口可以对组建命名。这里讲异或门的输入端命名为“A、B”,输出端命名为“Y”。

图11 电路连接图示,双击input或output组建可以给它们命名

图12 输入端命名A、B,输出端命名Y

8、保存设计文件后,点击工具栏上的“Start Compilation”按钮后,开始进行工程的编译。

图13 开始编译

9、点击菜单“File->New”选项,打开新建文件窗口,选择“Verification/Debugging Files->Vector Waveform File”,再点击“OK”按钮,创建一个波形仿真文件。

图14 新建仿真文件

10、点击菜单“File->Save As”选项,将新建的仿真文件保存在工程目录中,注意:文件名要与工程名保持一致:Exp01.vwf。

图15 保存仿真文件和工程名一致

11、双击仿真文件的左侧空白区域,弹出“Insert Node or Bus”窗口,再点击“Node Finder”按钮弹出“Node Finder”窗口。在这个窗口的“Filter”中选择“Pins:all”,然后,单击“List”按钮。将“Nodes Found”框中列出的管脚A加入到右侧的“Selected Nodes”框中。最后“OK”按钮,得到如图19所示。

图16 双击左侧空白区

图17 弹出“Insert Node or Bus”窗口

图18 插入电路图中的输入和输出端

图19 选择A端点。

12、用同样的办法添加B和Y,得到如图20所示的效果。

图20 加入A、B、Y端点

13、如图21所示,点选A这一行,再点击左侧的按钮“Overwrite Clock”“Period”设置为1ns。同样的方式将B设置为“2ns”。

。在弹出的“Clock”窗口中将A的图21 加入A、B设置频率后的效果

图22 设置A的周期为1ns

14、选择菜单栏的“Processing”菜单项,首先点击“Start Compilation”“Generate Functional Simulation Netlist”生成功能仿真网表,最后点击“Simulator Tool”真工具窗口

进行编译,然后点击

弹出仿

图23 Processing菜单

15、在仿真工具窗口首先将仿真模式设置为“Functional”,再点击开始按钮得到仿真结果。

图24 仿真工具窗口

上一篇:学生安全出行心得体会下一篇:机电就业申请书范文