校验码设计-数字电路课程设计报告

2024-06-16

校验码设计-数字电路课程设计报告(通用8篇)

1.校验码设计-数字电路课程设计报告 篇一

多路彩灯控制器的设计

一 课程设计题目(与实习目的)

(1)题目:多路彩灯控制器(2)实习目的:

1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二 任务和要求

实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。(1)彩灯控制器设计要求

设计一个8路移存型彩灯控制器,要求: 1.彩灯实现快慢两种节拍的变换;

2.8路彩灯能演示三种花型(花型自拟); 3.彩灯用发光二极管LED模拟;

4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。(2)课程设计的总体要求

1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好;

3.注意布线,要直角连接,选最短路径,不要相互交叉;

4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三 总体方案的选择

(1)总体方案的设计

针对题目设计要求,经过分析与思考,拟定以下二种方案:

方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。主体框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。主体框图如下:

(2)总体方案的选择

方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。这样设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。基于以上原因,加上为了确保短时间内完成课程设计,我选择了连线少,易于组装和调试的方案二。

四 单元电路的设计

1.设计所使用的元件及工具:

74LS161(四位二进制同步计数器)----------------------2个; 74LS194(移位寄存器)------------------------------2个; 74LS151(八选一数据选择器)---------------------------1个; 74LS74(双D 触发器)---1个; 74LS20(双四输入与非门)----------------------------3个; 74LS04(六非门)-----2个; 发光二极管--------------8个; 555----------------1个;

电容: 4.7μf---------------1个;

0.01μf--------------1个;

电阻: 150kΩ

-----------------------------1个;

100Ω---------------1个; 4.7kΩ----------1个;

实验板一个; 万用表一个; 钳子一个; 导线若干。2.各个单元电路(1)花型演示电路

由二片移位寄存器194级联实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。三种花型变换样式

花型1:8路灯分两半。从左至右渐亮,全亮后,再分两半从左至右渐灭。循环两次;

花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。循环两次;

花型3:从左至右顺次渐亮。全亮后逆序渐灭。循环两次。

移存器输出状态编码表

我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。

要用194实现三个花型的连续显示必须对两片194的S1、S0和SL、SR一句节拍的变化进行相应的改变。现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。列出各花型和其对应的194的S1、S0、SL、SR的输入信号及节拍控制信号列表如下:(用^Li表示Li的取非)

经过分析可以得到控制194高低位片的左移右移变化的控制量。用QA~QH表示161从低位到高位的个输出端。控制结果表达式如下:

电路图如下:

(2)花型控制信号电路

由二片161级联的模128(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。

161的级联用的是同步,并用^QH清零。

当三种花型全新显示一遍后(总共64拍)161的输出变为00000100所以将161高位片的Q2(即QG)信号输给节拍控制电路的151的A来通过节拍控制电路改变第二遍花型显示的频率。161的CP脉冲来自节拍控制电路中74的输出端Y。

电路图如下:

(3)节拍控制电路

由一片151和一片74级联实现。整体上实现脉冲频率的变换,即交替产生快慢节拍。

令74的Vcc,CLR,PR都接高电平,将^Q的输出接到D端,Q端的输出接到151的D1端。令151的D0,D2,D3,D4,D5,D6,D7,B,C,G’,GND接低电平,Vcc接高电平,D0接时钟信号的CP脉冲,A端接由花型控制电路的QG输出。

所以Y端的输出就为:Y=CP·^A+Q·A

(Q是74D触发器的输出端)由D触发器具有记忆功能,记录上一个状态,所以在每一个CP脉冲的上升沿,Q输出为上一次的记录(即一个脉冲)。也就比时钟信号电路的CP脉冲慢了一拍。

所以通过A为0或1选择Y端输出的脉冲的频率。A端接的是161的高位片的QG即当到达第64拍时QG为1接下来的65~128拍为变慢后的脉冲输出。电路图如下:

(4)时钟信号电路

由一片555加上适当电容及电阻实现。电容取:4.7μf

0.01μf 电阻取:150 kΩ

4.7 kΩ

电路图如下:

五 总体电路图(见附页)六 电路组装完成后,实际测量的各个单元电路的输入、输出信号波形

1.基本CP脉冲产生电路波形图与分频电路波形图

2.测试波形:(列依次为CP脉冲,低位片194A,B,C,D,高位片194A,B,C,D)花型一:

花型二:

花型三:

七 电路组装、调试过程中发生的问题及解决的方法。

我的数字电路课程设计总共用了4天完成的,这4天每一天都有所收获,都有所进步。起初想预习但不知道都该看些什么也不知道从何看起,因为对自己要设计的东西一点思路都没有。所以第一天去了只知道要用到CP脉冲产生电路,因为书上有完好的电路图直接照着连就OK。可是问题并不是想的那么简单,因为我一开始操作就不知道怎样布线才合理,常出现看着电路图不知道这条线该走哪儿连过去,看过老师的示范后,对老师的布线法真是无限敬佩,聪明呀。所以当然要学习了,于是自己也那样连了。连完时钟电路后,满以为会很成功,因为我的电路连得很简洁。结果是加电后LED二极管居然常亮,检查电路没什么问题,我开始不知所措,于是去咨询其他同学,发现好多同学都有和我一样的问题,有同学说那可能是线的而问题,也可能是板子的问题,也可能是电容的正负极插反了„不管是什么问题,一个个排除吧。最终其实也没很确切的知道问题的所在,因为不同的方法都在试具体是哪个也不确定了。我觉得最可能是电容的正负极和LED的插稳与否的问题。

第二天去我拿了个数码管来测试,结果连好了的电路加电测试结果却完全是错误的显示,我检测了电路测试了电位,都正确。老师过来看时让我加电他看看,结果居然是我给的电压太高了,我在加电是不小心把电压调的很高,自己还没在意,幸好没把芯片很板子烧坏。

在接下来的几天中我看了一些参考书籍,大概有了自己的思路,于是开始一一试验,我用了<<数字系统设计——数字电路课程设计指南>>(北京邮电学院出版社 高书莉编)所提供的三种花型,所以刚开始的几天就一直在想怎样将三种花型衔接起来,前两天是一直都没相处具体的解决方法。后来我就不停地分析花型的变化与161加法器数值的增加两者之间的规律。我发现自己好像有点太急于求成首先就把问题带到了最不容易解决的地方,于是我改变了思路开始不复杂的先简单化,三个花型的演示先不管,一个花型弄好再说。说行动立马行动,先连好了时钟信号电路再连了161的级联电路,先用清零端制1同步CP脉冲,还连了194的级联电路直接给低位片和高位片都加右移信号。先测试了161的工作状态是否正常,正常后又测试了194 的移位寄存功能,都正常。说明芯片和板子都很正常。将下来的一天我又通过分析找到了前两种花型通过节拍控制其变化的方式,于是按照自己的设计连了电路图,测试过电压后,加电测试。结果完全正确,那一刻真挺欣慰,觉得这也是一件挺开心的事情。接下来的问题就是如何把第三种花型也加进去。因为我选的第三种花型稍有点复杂,因为两个194是同步级联的但在花型显示中看到是似乎是第一个先工作等到前4个灯都亮后高位片的194才开始工作,所以考虑将它怎样加入费了我好长时间。问题的关键还是在如何用最少的门电路将其加入。最后的设计我用了12个芯片,应该是很多了,可是依据我设计的花型,也只能得到这样的设计,到目前为止我也只找到这样的最简连接方案。

其实在电路组装过程中,遇到的最大问题是,芯片分布不够合理,无法很好的布线。于是在分析了我的设计后计算了要用芯片的个数和个芯片之间的关系,按照各个控制电路的走向较合理的插好了芯片。其次就是布线,因为要求不准交叉,且横平竖直,所以在保证连通的情况下,在布线上也下了不少工夫,虽然布线的成绩不高只得到B+,但我想我是用心考虑过的,而且尽力做到我认为很合理的布线,也就是用线的颜色有点混乱,因为用了很多从之前面包板上拆下来的旧线,所以整个板子看起来有点杂乱。也因为我的设计中要用3个74L00和2个74L04,12个与非门电路的连接却是非了我好大的功夫。于是连线也就不十分合理了。

调试过程中,第一轮用万用表欧姆档测试,就遇了实验板上有插孔不通的情况,导致芯片不能正常工作。相对于别的办法,我选择了导线显示连通,因为其更明晰,更易实现。对于高阻导线则只能换掉。第二轮接电后,用万用表的电压档测试单元电路的状态。如:时钟信号电路的信号是否正常产生,控制信号电路中的计数器能否正常计数,D触发器能否每2拍翻转一次„„最后在整体上测试一遍。

在整个调试完成后,却遇到的新问题:彩灯演示时有时正常有时混乱。在排除其它可能的情况下,我仔细检查各端子的连接情况,发现清“0”端在清“0”后悬空了。将其插到电源正极后,发现问题解决了。八 分析和总结。

本次课程实习我虽然用了一个星期的时间就全部做完,但整个过程我都认真的完成了,而且从中收获很多。可以总结为以下的几点:

1,对数字电路知识的巩固与提高

这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个实习过程中,都离不开对数字电路课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。

2,学会了理论联系实际

课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线的电阻的,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。

3,学会了如何运用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的放置芯片在最合适的位置。在导线的连接上,如何选择导线走向是关键,我们应该尽量保证所连电路的简捷,宁短勿长,合理布线。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

4,和同学的互相协作共同进步

在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。

5,其他

课程实习设计是开端,连接是关键,测试是必须。所以实现过程中不仅要求对知识的掌握要足够准确与精通,更要有绝对的耐心与细心。连接电路时一定按照自己的设计图仔细连接这会对后面的测试起到很好的铺垫作用。在后面查错时就不用花费精力在查线上,可以给减少很多后续工作。我在这次的实习中其实也有连错线的时候,但我很快检查出来调整了那根线的连接,结果测试电路后花型显示完全正确。没有费太多的功夫在检查电路上。

九 参考文献。

<<数字电路逻辑设计>>

高等教育出版社 王毓银编 <<数字系统设计——数字电路课程设计指南>>

北京邮电学院出版社

高书莉编

十 过程考核表和成绩鉴定表

(这个具体内容老师会给你的,每个学校都有点不同在这我就不发了。)

下面是总体电路图,就是截图有点小,可能看不太清楚,其实就是前面每个分模块的组合。。大家看看就明白了。

2.校验码设计-数字电路课程设计报告 篇二

关键词:高职院校;数字电路;课程设计;改革

数字电路课程设计是保证教学效率的重要措施,随着教学改革不断深入,以及社会各行业对实用技能型人才的的要求越来越高,高职院校的学生需要具有较强的工作岗位的的能力,这也加大了教师对该门课程的总体教学难度。为了更好地实现“因材施教”,高职院校的相关专业教师应当立足实践,优化课程设计、创新教学方法,并科学分析判断“数字电路”课程教学目标和现状,制定完善的课程教学计划,进而为培养应用型人才创造优质资源。

一、“数字电路”课程改革教学现状

学以致用是教育的最终目标,课程改革是完善教学质量、提升教学水平的重要措施。课程教学改革对学生和教师提出了新的要求和希望,由于目前高职院校普遍对课程改革存在认识错误,导致教学现状不容乐观。主要体现在:落后的教学模式、单一的教学方式、不健全的教学设施等因素。这些都严重影响课程教学质量,不利于课程改革计划的同步实施,制约了课程教学改革的可持续发展。

(一)教学模式落后

“数字电路”课程教学作为电子专业以及通信自动化等专业的专业基础课程,要求学生充分掌握并理解里面的理论知识。进一步来说,也是一门理论与实践相结合的学科,除了掌握扎实的理论基础,还要具备较强的实践动手能力,比如设计一些简单的数字逻辑功电路等,这对以后的工作有非常大的帮忙。但目前大多数高职院校依然沿用传统的教学模式,不管是理论还是实验实训,很多教师只是根据教材进行讲授,且所使用的课本教材几年不变,授课方式也主要以单一的说教模式为主,缺乏创新意识,导致课堂教学氛围枯燥乏味,大大降低了学生的学习积极性。

(二)教学方法单一

教学方法在一定程度上决定了教学的效果。数字电路属于理科范畴,对学生逻辑思维和理解能力要求较高。目前,高职院校“数字电路”课程教学以课本教学为主,以实验教学为辅,受课程教学目标限制,相对于普通本科教学来说对教学内容有所压缩,导致学生在实验操作中,大多是以样画瓢,没有真正领悟并正确应用理论知识,造成虚有其表的现状[1]。同时,由于教学方法相对单一,实践课程与理论课程分配严重不均,难以实现高效教学。

(三)教学资源匮乏

教学资源是优化教学水平的垫脚石,教学资源匮乏直接导致教学设备破旧、教学条件落后、师资力量薄弱等现状。高职院校重在培养一线岗位应用型人才,粗制滥造的教学资源,无法满足社会发展对岗位人才的高标准要求。进而形成教学质量与岗位需求质量不成比例,从而造成高职院校学生无法适应社会发展节奏,最终迫使其转变工作类型,造成严重的教学资源浪费。

二、高职院校“数字电路”课程改革对策分析

改革是推动发展,促进教学进步的重要途径。教学改革是时代进步发展的必然趋势,是应对社会稳定发展的前提。高职院校肩负着培养社会应用型人才的重要使命,其教学任务“任重而道远”。面对“数字电路”课程改革现状,高职院校需要制定高标准、高要求、高质量教学计划,进而为全面实现高效教学奠定基础。

(一)创新教学方法

教学方法直观体现在教学水平上,创新教学方法有利于实现高效教学。首先,学校应制定完善的教学计划,按照课程标准和内容以年度为单位。其次,完善各项教学措施,包括教学质量考核、教师能力考核、教学监督管理等制度。同时,针对“数字电路”课程改革要求,督促教师自我学习、自我完善,鼓励教师一教学大纲为基础大胆创新,包括开设多媒体教学、强化实验教学、尝试开放式教学,例如:双师教学模式的尝试,将理论与实践进行独立教学,进而充分发挥课程改革实践价值。

(二)优化教学内容

“数字电路”教学课程是一门综合性学科,包含组合逻辑电路、时序逻辑电路及相应测试信息,需要教师多渠道、多领域搜集教学资源[2]。因此,教师可以尝试定期跟新教学内容,以便于与时俱进。例如:将理论教学与实验教学进行同步,便于学生形象记忆更好地“学以致用”;融入创新教学特色,开设开放式课堂教学,以学生为主体,还可以通过开展模型、实验竞赛等形式,促进学生相互学习。同时加强教与学的融合,传统教学成分离现状,加强融合能够巩固基础知识;另外,教师在教学过程中应立足实践多引用案例,并鼓励学生自主探究学习,从而更好地适应社会发展要求。

(三)完善教学资源

教学资源是巩固教学质量的关键,教学资源包括硬件设设施、软件设施及师资力量。目前,高职院校普遍存在师资力量匮乏现象。“数字电路”课程作为应用型课程教学,对硬件设备及实验器材的消耗较大,学校应加强完善[3]。对于软件设备包括科研资金及师资力量的投入,应加强重视,并优化师资队伍建设。包括建立人才储备战略、提高教师应聘门槛等。在教学中,定期对教学设备进行质量验收,便于提高高职院校整体教学水平。

三、结语

综上所述,高职院校“数字电路”课程设计教学改革受众多客观因素影响依然存在许多现实性问题,严重阻碍了改革的步伐,不利于高职院校的可持续发展。随着教学改革的深入,高职院校要想健康稳定发展,必须要制定完善的课程改革应对措施,并进行课程教学评估,便于更好地改善高职院校落后的发展现状。总的来说,高职院校课程设计改革是一项长远的工作任务,需要制定明确的工作计划,才能最大限度发挥高职院校的实践教学价值。

【参考文献】

[1]施丽莲.应用型人才培养中“数字电路”课程教学模式改革[J].中国电力教育.2012,(8):51-51.

[2]王洪亮.高职《数字电路》课程设计教学改革的探索与实践[J].统计与管理.2013,(6):187-188.

3.数字电路课程设计 篇三

1.结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。

2.每人独立完成一篇课程论文,论文至少2000字,可手写,也可打印(打印稿的格式另附)。

3.要求写出设计背景,理论基础,设计思路,设计过程,调试过程,仿真过程(可选),最终电路等。

4.总结所设计电路的优点,缺点,改进方向。

5.严禁抄袭,所有雷同论文均以0分计。

4.数字电路课程设计--数字抢答器 篇四

一、本次课程设计目的

1.结合所学的数字电路的理论知识来完成数字电路课程设计。

2.在数字电路的课程设计中,熟悉数字电路的逻辑设计过程以及集成电路的使用。

3.学会利用一些没学过的IC来设计电路。4。学会用软件方法仿真电路。

二、本次课程设计安排

1、时间安排

略。

2、地点安排

S2403实验室。

智力竞赛抢答器 设计目的

(1)熟悉集成电路的引脚安排及使用方法。(2)掌握各芯片的逻辑功能及使用方法。(3)了解面包板结构及其接线方法。(4)了解数字抢答器的组成及工作原理。(5)熟悉数字抢答器的设计与制作。(6)学会用软件方法仿真电路。设计思路

(1)设计抢答器电路。

(2)设计可预置时间的定时电路。(3)设计报警电路。(选做)(4)设计时序控制电路。(选做)设计过程

3.1方案论证 数字抢答器总体方框图如图1所示。button,sw-spdt

图 1 数字抢答器框图

其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。3.2电路设计

抢答器电路如图2所示。

图2 数字抢答器电路

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的R端均为0,4个触发器输出置0,使74LS148的ST=0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出Y2Y1Y0010,YEX0,经RS锁存后,1Q=1,BI=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148ST=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的YEX1,此时由于仍为1Q=1,使ST=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。

定时电路如图3所示。由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计。

图 可预置时间的定时电路

报警电路如图4所示。由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

图报警电路

时序控制电路如图5所示。时序控制电路是抢答器设计的关键,它要完成以下三项功能:① 主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。

② 当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。③ 当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端ST。

图5的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自图 2中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出ST=0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出ST=1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,ST=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

图时序控制电路

4系统调试与结果

(1)组装调试抢答器电路。

(2)可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。

(3)调试报警电路。

(4)定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。

5主要仪器与设备

集成电路: 74LS148—1片,74LS279—1片,74LS48—3片,74LS192—2片,NE555—2片,74LS00—1片,74LS121—1片。

电 阻: 510Ω—2只,1KΩ—9只,4.7kΩ—l只,5.1kΩ—l只,100kΩ—l只,10kΩ—1只,15kΩ—1只,68kΩ—l只。

电 容: 0.1uF—1只,10 uF—2只,100 uF—1只。三极管: 3DG12—1只。(3DG12为普通高频小功率NPN型硅(材料)三极管,特征频率100MHZ,集电极最大直流耗散功率0.7W,0.3A/20V。)

其 它: 发光二极管—2只,共阴极显示器—3只。

6设计体会与建议

6.1设计体会

通过这次对数字抢答器的设计与制作,让我了解了设计电路的程序,也让我了解了关于抢答器的基本原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。此外,本实验也可通过EDA软件MAX PLUSⅡ实现。通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。6.2对设计的建议

我希望老师在我们动手制作之前应先告诉我们一些关于所做电路的资料、原理,以及如何检测电路的方法,还有关于检测芯片的方法。这样会有助于我们进一步的进入状态,完成设计。参考文献

[1] 康华光.电子技术基础[M].北京:高等教育出版社,1999年

[2] 彭华林等编.数字电子技术[M].长沙:湖南大学出版社,2004年 [3] 金唯香等编.电子测试技术[M].长沙:湖南大学出版社,2004年 [4] 侯建军.数字电路实验一体化教程[M].北京:清华大学出版社,北京交通大学出版社,2005年

[5] 阎石.数字电子技术基础[M].北京:高等教育出版社,2001年

5.数字电子技术电路课程设计 篇五

题 目:数字时钟说明书

所在学院:信息工程学院

专 业:通信工程

班 级:

授课教师:

小组成员:

时 间:

16--1

2014-6-10

数字时钟说明书

数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直 观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用 数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。

一、设计目的

1.熟悉集成电路的引脚安排.2.掌握各芯片的逻辑功能及使用方法.3.了解面包板结构及其接线方法.4.了解数字钟的组成及工作原理.5.熟悉数字钟的设计与制作.二、设 计 要求

1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 1.设计指标

时间以24小时为一个周期;显示时,分,秒;有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号.画出电路原理图(或仿真电路图);判断元器件及参数选择;电路仿真与调试;PCB文件生成与打印输出.3.制作要求 自行装配和调试,并能发现问题和解决问题.4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会.1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图 3-1所示为数字钟的一般构成框图.1.秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲。如晶振为32768 Hz,通过15次二分频后可获得1Hz的脉冲输出.2.计数译码显示

秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

⑴晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路.⑵分频器电路

分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器.⑶时间计数器电路

时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器.⑷译码驱动电路

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流.⑸数码管

数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管.2.数字钟的工作原理 1)晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定.晶体XTAL的频率选为32768HZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施.由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为1.8KΩ.较高的反馈电阻有利于提高振荡频率的稳定性.2)分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频.通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等.3)6进制计数器转换电路

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连.时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换.利用1片74HC390实现12进制计数功能的电路如图3-6所示.4)译码驱动及显示单元

计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路.5)校时电源电路

当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.图3-7所示即为带有基本RS触发器的校时电路, 1.实验中所需的器材 5V电源.面包板1块.示波器.万用表.镊子1把.剪刀1把.网络线2米/人.共阴八段数码管6个.HD74LS48P芯片6个.HD74LS90P芯片6个.HD74LS08P芯片2个.555芯片一个.1.8KΩ电阻一个.设计图为:

面包板内部结构图

面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X,Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通.个功能块电路图

一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1.利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示, 总接线元件布局简图,见附图6-1 芯片连接图见附图7-1 八,总结

设计过程中遇到的问题及其解决方法.在检测面包板状况的过程中,出现本该相通的地方却未通的状况,后经检验发现是由于万用表笔尖未与面包板内部垂直接触所至.在检测CD4511驱动电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失.用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,再用万用表欧姆档检测每一根线是否接触良好,在检测过程中发现有几根线有时能接通,有时不能接通,把接触不好的线重新接过后发现能正常显示了.其次是由于芯片接触不良的问题,用万用表欧姆档检测有几个引脚本该相通的地方却未通,而检测的导线状况良好,其解决方法为把CD4511的芯片拔出,根据面包板孔的的状况重新调整其引脚,使其正对于孔,再用力均匀地将芯片插入面包板中,此后发现能正常显示,本次实验中还发现一块坏的LED数码管和两块坏的CD4511,经更换后均能正常显示.在连接晶振的过程中,晶振无法起振.在排除线与芯片的接触不良问题后重新对照电路图,发现是由于12脚未接地所至.在连接六进制的过程中,发现电路只能4,5的跳动,后经发现是由于接到与非门的引脚接错一根所至,经纠正后能正常显示.在连接校正电路的过程中,出现时和分都能正常校正时,但秒却受到影响,特别时一较分钟的时候秒乱跳,而不校时的时候,秒从40跳到59,然后又跳回40,分和秒之间无进位,电路在时,分,秒进位过程中能正常显示,故可排除芯片和连线的接触不良的问题.经检查,校正电路的连线没有错误,后用万用表的直流电压档带电检测秒十位的QA,QB,QC和QD脚,发现QA脚时有电压时而无电压,再检测秒到分和分到时的进位端,发现是由于秒到分的进位未拔掉所至.在制作报时电路的过程中,发现蜂鸣器在57分59秒的时候就开始报时,后经检测电路发现是由于把74HC30芯片当16引脚的芯片来接,以至接线都错位,重新接线后能正常报时.连接分频电路时,把时个位的QD和时十位的1脚断开,然后时十位的1脚接到晶振的3脚,时十位的3脚接到秒个位的1脚,所连接的电路图无法正常工作,时十位从0-9的跳,时个位只能显示一个0,在这个电路中3脚的分频用到两次,故无法正常显示,因此要把12进制接到74HC390的一个逻辑电路空出来用于分频即可,因此把时十位的CD4511的12,6脚接地,7脚改为接74HC390的5脚,74HC390的3,4脚断开,然后4脚接9脚即可,其中空出的74HC390的3脚就可用于2Hz的分频,分频后变为1Hz,整个电路也到此为正常的数字钟计数.2.设计体会

在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,往往没有接高电平的16脚或14脚以及接低电平的7脚或8脚,因此在实际的电路连接中往往容易遗漏.又例如74HC390芯片,其本身就是一个十进制计数器,在仿真电路中必须连接反馈线才能正常显示,而在实际电路中无需再连接,因此仿真图和电路连接图还是有一定区别的.在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的错误所引起的.3.对该设计的建议

6.数字电路与逻辑设计实验报告 篇六

课程名称

数字电路与逻辑设计

计算机科学与技术

09030234

指导教师

成绩

2010年 年 11月 月 10 日

实验题目:

译码器、数据选择器及其应用

一、实验目的 1、掌握中规模集成译码器与数据选择器的逻辑功能与使用方法

2、熟悉数码管的使用 3、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 1 1、中规模集成译码器 74 LS 138

74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。图-1是其引脚排列。其中 A2、A1、A0为地址输入端,0Y~ 7Y为译码输出端,S1、2S、3S为使能端。

图-1 74LS138真值表图-2如下:

图-2 74HC138工作原理为:当S1=1,S— 2+S — 3=0时,器件使能,电路完成译码功能,输出低电平有效。当S=0,S— 2+S — 3=X时,或S1=1, S— 2+S — 3=1,译码器被禁止,所有输出同时为1 2 2、双4 4 选1 1 数据选择器

74LS153 ?

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图-3所示,功能表如图-4所示。

图-3

输入 输出 S—

A1 A0 Q 1 0 0 0 0 X 0 0 1 1 X 0 1 0 1 0 D0 D1 D2 D3 图-4

1S—、2S — 为两个独立的使能端;A1、A0为两个公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。

当使能端1S—(2S —)=1时,多路开关被禁止,无输出,Q=0。

当使能端1S—(2S —)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。3、8 8 选1 1 数据选择器 74LS151

74LS151为互补输出的8选1数据选择器,引脚排列如图-5所示,功能表如图-6所示。

图-5

图-6 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S— 为使能端,低电平有效。

使能端S— =1时,不论A2~A0状态如何,均无输出,多路开关被禁止。

使能端S— =0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。

三、实验设备及器件 ●

硬件:PC机一台 ●

软件:QuartusⅡ5.0集成开发环境 四、实验内容 1.使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC 2.使用74LS151实现逻辑函数 F=AB’+A’B+AB 3.使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

五、实 验过程 1、使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC ① 由74LS138功能表(图-1)可知电路图连接如图-7所示

图-7 ② 经编译检查无错(图-8)

图-8

③ 对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-9

图-9 2、使用74LS151实现逻辑函数F=AB’+A’B+AB

①将输入变量C、B、A作为8选1数据选择器的地址码A2、A1、A0。使8选1数据选择器的各个数据输入D0~D7分别与函数F的输出值一一对应,即A2A1A0=CBA、D0=D2=D3=0、D0=D4=D5=D6=D7=1则输出Q便实现了函数AB’+A’B+AB接线图如图-10

图-10 ②经编译检查无错(图-11)

图-11 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-12

图-12 3、使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

①函数F有3个输入变量A、B、C,而数据选择器有2个地址端A1、A0少于数据函数输入变量个数,在设计时可任选A接A1,B接A0。接线如图-13

图-13

②经编译检查无错如图-14

图-14 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-15

7.校验码设计-数字电路课程设计报告 篇七

doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。

一.概述

汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用.汽 车行驶时,会出现正常行驶,左转弯,右转弯,刹车四种情况,针对这四种情况可以 设计出汽车尾灯的控制电路来表示这四种状态.设计一个汽车尾灯控制电路,技术指标如下: 假设汽车尾部左右两侧各有 3 个指示灯(用发光二极管模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧 3 个指示灯按左循环顺序点亮;汽车右转弯时,右侧 3 个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁.二.方案论证

方案一: 汽车尾灯控制电路主要由 D 触发器逻辑电路,左转,右转控制电路,刹车控制电 路构成.首先将脉冲信号 CLK 提供给 D 触发器逻辑电路.用三片 D 触发器设计一个逻辑电路可以产生 001,010,100 的循环信号.将此信号作为左转,右转的原始信号.设置左转控制开关和右转控制开关.通过开关的控制将左转,右转的原始信号通过逻辑电路分别输出到左,右的 3 个 汽车尾灯上.这部分电路起到信号分拣的作用.设置刹车控制开关,将脉冲信号 CLK 提供给刹车控制电路.当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随 着时钟信号 CLK 全部闪烁的功能.最终得到的信号即可输出到发光二极管上,实现所需功能.方案一原理框图如图 1 所示.1 CLK D 图 1 方案一原理框图

方案二: 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示,驱动 电路构成.由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器 电路顺序输出低电平,从而控制尾灯按要求点亮.首先,设置两个可控制的开关,可产生 0 0,0 1,1 0,1 1 四种状态.开关置为 0 0 状态时,表示汽车处于正常运行状态.开关置为 0 1 状态时,表示汽车处于右转弯的状态.开关置为 1 0 状态时,表示汽车处于左转弯的状态.开关置为 1 1 状态时,表示汽车处于刹车的状态.其次,设计电路实现所需达到功能.三进制计数器可用两片 D 触发器构成.译码电路可用 3 线—8 线译码器 74LS138 和 6 个与非门构成.显示,驱动电路由 6 个发光二极管和 6 个反向器构成.2 方案二原理框图如图 2 所示.显示,驱动电路

开关控制电路

译码电路

三进制计数器

图 2 方案二的原理框图

最终方案为方案二.电路设计 三.电路设计

1.时钟脉冲电路 由 555 定时器构成的多谐振荡器电路如图 3 所示.12V Vs 1 28.86k R1 4 8 VCC RST DIS THR TRI CON GND 1 OUT 3 6 57.72k R2 7 8 CP 7 6 2 5 10nF C 10nF Cf 0 LM555CM Timer 图 3 由 555 构成的多谐振荡器

接通电源后,电容 C 被充电,Vc 上升,当 Vc 上升到 2/3Vcc 时,触发器被复位, 此时 Vo 为低电平,电容 C 通过 R2 和 T 放电,使 Vc 下降.当 Vc 下降到 1/3Vcc 时, 触发器又被复位,Vo 翻转为高电平.周期 T 为: T=(R1+2R2)Cln2≈0.7(R1+2R2)C 这样,通过控制电容充放电时间,使多谐振荡器产生时钟信号.2.开关控制电路 开关控制电路如图 4 所示.3 VCC 5V VCC J1 Key = A 10 R1 200? 0 U7B U15B 12 C G VCC 5V U3A VCC 74LS136D J2 Key = B 11 R2 200? 0 13 74LS00D 74LS04D U9B D U16A 14 74LS00D CP 74LS10D 图 4 开关控制电路

电路通过控制开关 A,B 的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四 种状态.AB 置为 0 0 状态时,表示汽车处于正常运行状态.AB 置为 0 1 状态时,表示汽车处于右转弯的状态.AB 置为 1 0 状态时,表示汽车处于左转弯的状态.AB 置为 1 1 状态时,表示汽车处于刹车的状态.3.三进制计数器 原理图如图 5 所示.4 VCC 5V 2 ~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14 1Q 15 U2A A VCC 16 1K 74LS76D 1 3 2 2 U2B 1Q 15 ~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14 B 16 1K 74LS76D 图 5 三进制计数器原理框图

4.译码,显示驱动电路 译码,显示驱动电路如图 6 所示.VCC U4A 74LS00D U5A 9 U10A LED1 23 R3 17 200 5V A B1 2 C 3 6 G4 5 U1 A B C G1 ~G2A ~G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 15 14 13 12 11 10 9 7 74LS04D U11A LED2 3 10 24 R4 18 16 200 74LS00D 74LS04D 4 U6A U12A 5 LED3 11 25 R5 19 6 7 8 200 74LS04D U13A LED4 R6 12 20 26 200 74LS00D 74LS04D U8A U14A LED5 R7 13 21 27 200 74LS00D 74LS04D U9A U15A LED6 R8 14 22 28 200 74LS00D 74LS04D 74LS00D U7A 0 74LS138D VCC 图6 译码,显示驱动电路 5 四,性能的测试

利用 Multisim10 进行测试和仿真.1.当汽车正常行驶时,AB 置为 0 0 状态,指示灯全灭.仿真结果如图 7 所示.图7 正常行驶仿真结果

2.当汽车左转弯时,AB 置为 1 0 状态,左侧 3 个指示灯按 LED1->LED2->LED3 顺 序循环点亮.仿真结果如图 8 所示.6 图8 左转弯仿真结果

3.当汽车右转弯时,开关置为 0 1 状态,右侧 3 个指示灯按 LED4->LED5->LED6 顺 序循环点亮.仿真结果如图 9 所示.7 图9 右转弯仿真结果

4.当汽车刹车时,AB 置为 1 1 状态,所有指示灯全部随着时钟信号闪烁.仿真结果如图 10 所示.8 图 10 刹车仿真结果

五.结论

电路的主要特点是选用简单常见的元器件,充分利用所学知识.通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能.六.性价比

本电路采用的都是简单且常见的元器件, 价格相对便宜, 性能基本符合技术要求.适用于对技术要求不是十分严格的电路.因此,本电路的性价比较高.七,课设体会及合理化建议 课设体会及合理化建议

这次总的说来收获很大,但在独立设计过程中着实也遇到了不少困难.比如开始 时不知用什么逻辑器件使输出为 001,010,100 的循环,以使指示灯按一定的顺序依 次点亮, 后经过与同学的讨论最终使问题得到了解决, 我想这也是最吸引我们的地方, 当真正投入时才发现乐在其中.一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的 学习和老师的指导,才完成了电路的设计并成功进行了仿真.9 参考文献

[1] 刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005 年 [2] 朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004 年 [3] 路勇主编.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 年 [4] 阎石主编.数字电子技术.[M]北京:高等教育出版社,2006 年 [5] 谢自美主编.电子线路设计实验测试.[M]武汉:华中科技大学出版社,2006 年 [6] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005 年

附录Ⅰ 附录Ⅰ 总电路图 11 附录Ⅱ 附录Ⅱ 元器件清单

序号 编号 名称 型号 数量

R1, R2, R3, R4, R5, R6, 电阻 R7,R8, R9 R10 LED1,LED2, LED3,LED4, LED5,LED6, U2A,U2B, U10A,U11A, U12A,U13A, U14A,U15A, U15B, 电阻 电阻 200 8 2 3 28.86k 57.72k 1 1 4 发光二极管 LED 6 5 JK 触发器 74LS76 2 6 非门 74LS04 7 7 U4A,U5A,U6A, U7A,U7B,U8A, 与非门 U9A,U9B 74LS00 8 9 10 11 12 U16A, 与非门 直流电源 直流电源 74LS10 5V 12V DIPSW1 1 4 1 2 J1,J2, 开关

8.校验码设计-数字电路课程设计报告 篇八

摘要:EDA技术结合数字电路课程设计课程是新教育改革的体现,创新的教学模式开启了学生的智慧,增强实践性与逻辑思维,激发学生热情。在阐述了EDA的特征及优势的同时,探究了它与数字电路课程设计的过程,最后对以新课程改革的观点分析课程设计的优势。

关键词:EDA技术 数字电路设计课 新课程改革

引言

随着社会科学技术不断发展,培养四有新人的重任略显重要。在日常生活中随处可见EDA技术的应用,电子信息时代,逐渐被HTML描述性语言代替。传统的理念及设计手段已经不能完全满足现代企业和社会的需求。在EDA(EleCTRonICs Design Automation)技术基础上,融入到数字电路课程是教育时代的要求,也是教学改革的新课程的要求。国家不仅重视创新发展,更注重培养人才,课程设计,直接影响学生的思想和培育。

1.EDA技术的特征及优势

1.1简单易于操作

计算机行业中,软件硬件的应用是相互结合的。那么,关于EDA技术应用的性质特征为整个设计过程简单可操作性强。在此方面涉及方面比较广泛,内容相对丰富,通过硬件描述与软件开发工具,实现特定的测试电路设计,在修改方面也达到便利的效果。

1.2产品的互换性强

EDA技术在设计上实现了逻辑编程器件,应用上可以自动的检测、编辑,以及对一些程序的重新建构,对其进行修改。设计芯片方法灵活性强,有别于传统的设计思路,在使用效率方面得到显而易见的效果。因而,产品的互换性较强。

1.3自动性能高

在传统的设计上,需要技术人员的操作,在人员辅助下操作完成设计。EDA技术设计突破以往的多人操作的难点,实现自动化设计。这不仅在人员调动方面节约了成本,而且实现了自动化设计。在性能上达到优化,测试全过程及及结果将会自动完成。

2.基于EDA技术结合数字电路课程设计的探究

2.1设计方法与要求

EDA在设计方法上遵循技术改革创新方式,将其传统的设计概念中,加入新的焊接模式的转变,达到了计算机自动化的性能;在设计要求上,运用于数字系统中,例如,在设计数字闹钟的过程中,增加了计时、整点报时等功能。在设计流程上,使用芯片也比传统芯片更实用。

2.2适配器件如何应用

这时代,EDA设计的特点,在底层配件上都尽显完善,适配对象包括布局线都进行了逻辑性操作。这增加了仿真设计的效果。根据所需要的设计文件类型,完成自动化设计过程。若设计有误,可自动下载编程,进行修改。可见器件的适配设计在实际应用中发挥其明显作用。

2.3编码电路与译码电路共占195个逻辑单元

数字电路课程设计应用在EDA中,通过目标系统,使用描述性编码完成设计工作,编译码电路在出错后会自动改错,编码电路与译码电路共同实现了逻辑性的功能,这个过程,体现了EDA技术在数字电路中越来越重要。

3.突破传统教学教程,注重能力的培养

3.1跟上时代脚步,注重教程改革

电路数字课程设计是电子信息专业的一门基础课程。教学课程方面,比以往的教学方案中增加更多互动模式,传教方式灵活简单易懂,注重培养学生的实践能力。目前,EDA技术涉及的领域遍布全国,它的发展已经步入科技前沿。

3.2根据社会需要,学以致用

数字电路课程设计,应用于实际生活的每个层面。在学校、医院、楼层、社区、企业、家庭、交通等领域中,随处可见,例如在医院里,病床疾病呼叫,还有密码解锁、楼层内的控灯、触摸延时灯、数字钟、还有循环彩灯以及在交通运输方面使用的交通灯等,要结合实际需求,达到教学与实践相结合。

3.3技术与课程设计相结合,利于能力的培养

DEA技术与数字电路课程设计的结合,在给学生逻辑思维上的灌输通通明朗。不论在教学教程上还是培育学生上都得到了实质性的提高。传统的数字电路课程设计制约了学生的分析能力,固定的教学模式,限制了学习设计思路,及其独立设计与组装的能力。因此,注重教学课程改革与培养实践技能成为发展趋势。

4高校开展EDA技术课程,教育教学不断完善

就目前状况来看,EDA技术的课程与实践课开展的十分普遍,对于高职电子专业人员来说,综合EDA技术的数字电路课程设计综合的实现了学生的应用能力,这是技术理论上的一场革命性的训练。新课程的培养目标理念深厚,这种教学方式,贯彻了“三个代表”的重要思想。

在课程上新改革,例如以往的法务部与税务部的分割线比较明显,而在大时代背景下,需要新型人才,也需要在企业中事倍功半,在以往的教程上综合了法务与税务的知识,在新课程的推动下,出现法务税务师,这不仅节约了人才,而且自身能力提高,实现个人独特的价值。基于EDA技术的数字电路课程设计理念也是如此,为节约人才与新型技术人员的培养提供可行性的策略。

总结

数字电路课程设计思路有两个,一个是仿真电路设计,一个是应用设计印刷电路板。课程设计的教程实践将会实现个人的独立设计与创新能力。能够培养具有逻辑性的思考和解决问题的高素质人才,提高学生积极性与学校热情,是实现基于EDA技术的数字电路课程设计的关键所在。

参考文献

上一篇:课题组成员会议记录下一篇:外协人员安全管理制度