数字电路实验教学

2024-07-05

数字电路实验教学(精选8篇)

1.数字电路实验教学 篇一

《数字逻辑电路》实验报告

第次实验:

姓名:

学号:

级系班

邮箱:

时间:

正文(由下面八项内容评定每次实验报告成绩)

一、实验目的本次实验预期要学习到的知识、方法等

二、实验原理(背景知识)

本次实验需要的理论知识背景、实验环境和工具等前期准备知识,预习时完成的引导性实验内容一般在此有所体现。

三、实验器材/环境

本次实验中使用的硬件器材和软件环境

四、实验设计思路(验收实验)

验收实验的设计流程图/卡诺图/真值表/代码等或其他

五、实验过程(验收实验的过程)

充分截图,详细说明实验过程步骤等

六、实验结果

简单介绍本次实验完成的工作,学到的知识等。

七、实验中遇到的问题及解决方案

请将已经解决的问题写在这里,没有解决的问题也可以保留在这里,但是可能不能立即得到回答,没有得到回答的问题请在下一次课时向老师和助教当面提问。

八、实验的启示/意见和建议

1对本课程或本次实验的意见建议等,如:实验内容难度,实验时间安排,如何提高实验效果等。

2对本次实验内容你有没有让同学更有兴趣的建议,或者如何才能让你对本次实验更有兴趣?

3你有好的与本次实验有关的实验内容建议吗?比如在日常的学习和生活中遇到的,可以转换为实验的内容?

我们将非常感谢你给我们提出意见和建议,这将使我们的课程更加生动有效。

附:本次实验你总共用了多长时间?包括预习时间、和课堂完成时间。(请大家如实统计,时间长短不影响本次实验的成绩。这个主要用于统计大家的工作时间,粗略确定实验的难度,为我们以后的实验设计提供参考。)

感谢大家的观看和支持!

2.数字电路实验教学 篇二

随着数字电子技术的发展, 数字电子技术在现代社会的应用日益广泛, 数字电路实验作为理工科多数电类专业开设的一门重要的基础课程, 担负着巩固学生理论知识, 培养学生动手操作能力、知识应用能力及创新能力的重任。而了解和掌握数字电路基本的电路分析、设计、开发, 是数字电子技术应用技能的一种具体体现, 是电子技术应用技能的实践要求。

数字电路实验的教学中, 目前许多院校还在沿用数字电路实验教学的传统实验模式、方法和手段, 很难充分激发学生的兴趣, 难以适应现代社会发展的要求。因此, 数字电路实验教学应根据电子科学技术发展的趋势及其社会要求, 不断地变革才能适应社会对电子技术人才越来越高的要求。

2 数字电路实验课程改革思路

参考国内部分院校和国外部分数字电路实验教材, 结合我校的实际情况, 将数字电路实验内容分为:基础性实验、设计性实验及综合课程设计性实验三部分内容[2]。

2.1 加强基础实验, 注重学生的自主学习和基本实践技能的培养

在基础实验中, 我们精简基础实验的数量, 强调学生必须完成的4个基本实验, 对于这4个基本实验, 每个实验又包含必做内容和选做内容, 分出一定的难度, 既有多数学生都能完成的基本设计和制作, 使学生在实验过程中掌握基本的知识并获得成就感;又具有挑战性的项目, 以激发学生探索研究的兴趣。对于实验的方法不做过多的限制, 给学生更多的思考空间, 调动学生的学习兴趣和自主思考能力。其次通过开放实验室的方式要求学生再自行完成2~3个基本实验, 由教师检查其完成情况。这种设置方式不仅强调了学生自主学习能力, 而且强化了学生的基本实验技能。

2.2 加强综合设计实验

实验教学过程中, 在完成基础性实验的基础上, 增加了综合设计类实验, 该类实验在选择上主要考虑了通过学习该门课程来解决现实生活中的问题。主要安排了数字密码锁设计、交通灯控制电路设计等[1]。通过实际操作, 学生将理论知识与实践相结合, 加深对知识点的理解, 并通过解决实际社会生活中的问题提高学习积极性。

2.3 综合系统课程设计

系统课程设计类实验充分发挥学生的主观能动性, 该类实验由学生自己查找资料, 制定设计方案, 用计算机仿真软件Mu ltisim进行电子虚拟实验仿真设计, 实现将传统的实验方法与EDA相结合, 提高学生的实验技能。设置的实验内容为利用EDA技术和相关逻辑器件, 设计和实现小型数字系统 (如出租车计价器、简易数字频率计、篮球比赛计时器、节日彩灯控制器、交通灯控制电路等[2]) 实验。

3 实验教学改革的方法

3.1 实验教材的编写

结合我校学生和实验室的实际情况, 自行编写了《数字电路实验》课程实验大纲和实验指导书, 内容体现了课程重点, 难点。实验内容经过认真、仔细的考虑, 并根据科技的发展及时更新内容。

3.2 实验教学方法的更新

实验课教学不再是单纯的老师讲解、学生照电路图连线;基础实验主要进行基本技能训练, 首先要求学生课前作好预习, 对实验目的、原理和要求有一个初步印象, 并写出预习报告;其次贯彻“精讲多练”的原则, 课前讲解以实验要点、难点以及注意事项为主, 让学生拟定实验方案, 教师给予检查和适当的指导;之后学生动手实验。实验中学生应考虑:电路的设计思路是什么?有什么实际应用?如何进行改进?通过实验来寻求答案, 强调自主学习和主动思考相结合来解决问题, 目的是激发学生的学习兴趣。

设计性实验和综合系统设计课程这个层次的实验是将新技术和新型电路设计的方法充实到实验教学中去, 选择EDA和可编程技术完成实验, 根据给定的题目及达到的技术指标, 学生结合所学知识及实验室提供的条件, 查阅相关资料, 自行设计实验方案, 通过计算机软件的编程和仿真, 完成实验任务。

3.3 开放实验室

开放实验室, 为学生课外科技活动提供实验条件, 拓展了实验的时间和空间, 提高实验室和设备的使用效率。

4 总结

本文探讨了改革传统实验教学的模式, 给出了具体的改革措施, 并进行了初步的实践, 取得了一定的实验效果。由于实验教学改革是个长期的过程, 需要实验指导教师不断总结和学习, 进一步提高实验教学质量和教学效果。

摘要:本文针对数字电路实验的教学情况, 提出实验教学改革的思路和方法, 确立了实验教学目标, 建立以基础实验、综合实验和课程综合设计培养为核心的层次实验教学模式, 在我校取得了良好的教学效果。

关键词:数字电路实验,实验教学,实验设计

参考文献

[1]沈小丰.电子线路实验—数字电路实验[M].北京:清华大学出版社.2007.10

3.数字电路实验教学改革方案探讨 篇三

摘 要:文章主要探讨了学院在数字电路实验课程教学中存在的一些问题,然后从实验内容、教学方法及手段等方面提出了改进意见,以此提高教师的教学水平和学生的综合能力水平。

关键词:数字电路;实验教学;教学改革

数字电路实验是数字电子技术课程的重要实践环节,进一步培养学生工程能力的一门专业技术基础课,是一门实践性很强的课程。学生通过验证、自行设计电路,安装,调试电路,排除电路故障,初步掌握数字电子技术的原理,并能根据需要合理选用所需集成电路,设计并制作出实际电路,培养学生的工程实践能力,提高动手操作能力和创新能力,为后续专业学习打下坚实的基础。但目前学院的数字电子技术实验教学从教学内容的设置到教学方法的运用都存在一些影响学生基本操作技能形成的不利因素,必要进行改革。

1 实验内容的改革

数字电路实验是一门理论性和实践性都很强的课程,但是目前学院所使用的教材多为验证性实验,而且偏重理论和实践性的内容较少,实验内容相对简单。内容老化,手段单一,造成大部分学生动手能力得不到加强,不利于培养学生的综合分析设计的能力,不能适应当今社会对应用性、创新型人才的要求。

根据学生知识、能力培养的总体要求,合理编排实验内容。在实验内容的选定上,既有一定量的验证型实验,也有适量的设计型、综合型实验。两者缺一不可,各自起作用,比如:集成逻辑门电路的功能测试。属于验证型实验,该实验主要是帮助学生认识基本的逻辑芯片,验证基本逻辑芯片的功能,与此同时,在单纯依靠实验台时,可以适当结合计算机仿真软件,增加适量仿真型实验内容。在实验的操作过程中,把每个实验都分成两部分,第一部分是基础实验,通过实验是学生进一步巩固和加深对相关课程基本理论的理解,巩固基本概念、提高综合运用所学知识的能力;第二部分是延伸实验,目的是进一步提高学生对教学系统的理解、培养学生独立分析问题和解决问题的能力、综合设计及创新能力,培养学生进行科学研究的独立工作能力,取得工程设计与组装调试的实践经验。

2 实验教学方法的改革

实验过程机械化。实验过程一般是在实验箱上,学生按照老师的要求进行实验。每个实验分两节课。从实验内容、所用仪器,到实验步骤的安排,学生都没有选择的机会,处于一种相对被动的地位。因此,实验给学生的印象较肤浅。

实验设备比较陈旧、易损。 学院对实验室的资金投入不足,仪器质量不佳、易损。在实验过程中,元器件老化、损坏不可避免,但需要及时更新。检查芯片的好坏和线路相当复杂,每周的实验课时又多,严重影响教师和学生的学习。鉴于上述等等原因,笔者提出一些改进措施如下:

2.1 增加实验学时

基础实验、综合设计性实验、仿真实验学时分别按 3∶4∶3分配 ,以全面提高学生理论联系实际的能力、知识综合能力、创新设计能力。实行实验单独设课与实验成绩单独计算 ,极大地提高了实验环节在整个教学中的地位,改变过去实验教学只是从属于理论教学,实验学时不足,综合性实验偏少,创新性实验缺乏,实验质量的好坏对成绩影响不大的弊端。

2.2 改革考核方式

为了做到对学生的全面评价,实验成绩应标准化、定量化。实验课程的最后一次实验课程内容为实验考试,实验考试内容以考查学生完成综合性和设计性实验的实际能力为主旨,按A、B、C、D、E 评定成绩,该成绩占总成绩40 %。其中,实验报告占总成绩20%,平时的实验表现,特别是综合设计性实验的实验操作及完成情况占总成绩40% ,作为判断学生能力和全面发展的一个重要依据,这样提高广大学生的实验热情,变被动为主动。

3 改革实验教学手段

3.1 实施开放实验室

由于以往实验室横向定时开放,学生不能长时间的在实验室进行实验设计。横向定时开放指的是实验室只是根据课程表的安排,在规定的上课时间内开放。但是综合设计性实验不同于一般的验证性实验,需要的时间相对较长。为了能让学生学到更多的实验技术和科学的实验方法,从而也很难提高其实验技能和动手能力,必须开放实验室。开放实验室,首先,要求学生熟悉并已经掌握了简单的实验设备的使用。其次,要求学生在前一个星期就必须选择实验内容或者补做上课的实验内容。第三,要求学生必须严格遵守开放实验室管理条例进行实验操作。

3.2 仿真软件的使用

Multisim仿真软件具有丰富的元件库、虚拟仪器与仪表功能以及强大的仿真功能。教师可以借助该软件对数字电子技术中的部分设计型、综合型实验进行教学,引导学生使用Multisim设计数字电路,是学生能够通过反复修改设计,最终完成实验教学任务。在理论教学环节中,教师通过使用Multisim仿真软件,能够在理论教学过程中对数字电路进行现场演示并分析,用Multisim仿真软件进行仿真教学,教师可以在多媒体教室中深入浅出地分析各种集成逻辑芯片的特性。演示小规模集成电路的工作情况。

4 结语

在数字电路实验工作中,我们不仅注重实践动手能力的培养,更注重逻辑思维能力、综合运用知识能力、创新意识的培养,更要学生掌握工程设计的主要程序和方法,树立正确的设计思想。此外,如何将硬件和软件有机结合起来,如何利用现有的实验条件,对于实验室系统的运行和管理进一步完善,这些都还需要继续探讨。

参考文献:

[1]阎石.数字电子技术基础[M].北京:高等教育出版社,1998.

[2]余魅.论实验教学改革与创新能力培养[J].实验科学与技术,2004,9(3):45-46.

[3]朱孝钦,杨明,胡明辅.实验教学与改革初探[J].实验室研究与探索,2004,23(5):84-85.

[4]周亚俊.全面改革实验教学,培养学生创新能力[J].实验室研究与探索,2004,23(7):78-80.

4.脉冲与数字电路实验总结 篇四

脉冲与数字电路接收和处理的都是脉冲式离散信号。相较于模拟信号,它更易于复制、修饰;所有的数字信号都是有不同的电平保持长度的高低电平携带。且高低电平的区分有很大的容差,这使它具有更强的抗干扰性和精确性。

在一个学期的学习过程中,我们共做了六个相关实验,包括:门电路逻辑功能及测试,组合逻辑电路,触发器R-S、D、J-K,三态输出触发器及锁存器,时序电路测试及研究,译码器和数字选择器。设计数字集成器件:74LS00,74LS10,74LS20,74LS86,74LS04,74LS54等等。

学习并深入了解门集成块门、非门及其扩展门电路,分组合应用以达到不同的逻辑设计效果。

在数电理论的指导下,使用合成实验面包板进行试验。配有高低电平输入开关盒发光二级管指示操作和测试逻辑电路的性能。整体来说,数电试验中,虽然接线较为复杂,但其效果着实非常客观和准确。有利于工科学生形成严谨细致的学术作风。

最后,感谢我的实验老师,在整个学习和实验过程中对我尽心的指导和帮助。感谢我的同组学生,在实验进程中对操作及理论的见解交流。使我顺利并成功的完成了各项试验,获得对知识的进一步理解。

5.数字电路与逻辑设计教学大纲 篇五

适用专业:通信工程、信息工程、自动化、测控技术与仪器、电气工程及其自动化 课程类别:专业基础课 先修课程:电路原理 总 学 时:66 学

分:3 考核方式:考试

一、课程的性质与任务

本课程是信息工程、通信工程、自动化、测控技术与仪器和电气工程及其自动化专业学生必修的技术基础课程,是一门实践性很强的课程。通过本课程的学习,使学生掌握数字逻辑和数字系统的基础知识、基本分析方法和设计方法,培养使用标准逻辑器件的能力,初步了解可编程器件的知识,为深入学习后续课程和从事数字技术实际工作打下良好基础。

二、课程内容、基本要求与学时分配

1、绪论(2学时)

了解数字信号与模拟信号的定义与区别; 掌握各种数制间的转换; 了解常用的各种码制; 了解数字电路的分类;

2、逻辑函数及其化简(6学时)掌握布尔代数的运算规则;

掌握逻辑变量与逻辑函数的表示方法; 掌握逻辑函数的公式法化简法;

掌握卡诺图的绘制方法和用图解法化简逻辑函数;

3、集成逻辑门(6学时)

了解晶体管的开关特性;

了解TTL集成逻辑门的外部特性; 了解CMOS集成逻辑门的外部特性;

4、组合逻辑电路(8学时)掌握组合逻辑电路的分析方法;

掌握用逻辑门电路设计组合逻辑电路的方法; 掌握用中规模集成电路设计组合逻辑电路的方法; 了解组合逻辑电路的冒险现象;

5、触发器(8学时)

掌握各类触发器的特征方程和功能描述方法; 掌握基本触发器和钟控触发器的工作原理; 了解主从触发器和边沿触发器的工作原理;

6、时序逻辑电路(8学时)

掌握同步、异步时序逻辑电路的分析方法; 了解常用集成时序逻辑器件的使用方法;

掌握用小规模IC器件和中规模IC器件设计同步时序逻辑电路的方法; 了解异步时序逻辑电路的设计方法。

7、半导体存储器(2学时)

了解随机存取存储器和只读存储器的工作原理; 掌握随机存储器的扩展方法;

了解用只读存储器设计组合逻辑函数的方法;

8、可编程逻辑器件及其应用(2学时)

初步了解可编程逻辑阵列、通用阵列逻辑(GAL)、复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)的结构特点和工作原理

9、脉冲单元电路(2学时)了解自激多谐振荡器的工作原理; 了解单稳触发器的功能;

了解555时基电路的结构特点和应用;

10、模数转换器和数模转换器(4学时)了解数模转换器和模数转换器的基本原理; 了解常用数模转换器和模数转换器的特性

本课程的理论教学时数为48学时,2.5学分。

三、课程的其他教学环节

本课程安排有实验教学环节18学时,0.5学分。

四、参考教材

1、《数字电子技术》庞学民主编 清华大学出版社 2005年

2、《数字电路逻辑设计》王毓银主编 高等教育出版社 1999年

3、《电子技术基础》数字部分(第四版)康华光主编 高等教育出版社 2000年

4、《数字逻辑与数字系统》白中英、岳怡、郑岩编著 科学出版社 1998年

五、说明

本课程在教学方法上采用讲授理论与实验动手相结合的形式进行,以便学生更好的理解所学的理论知识。在理论教学过程中,要注重方法的讲解,以提高学生分析问题、解决问题的能力。

大纲执笔人:刘炜

6.数字电路实验教学 篇六

周云波 刘小群

宝鸡文理学院物理与信息技术系

摘要:介绍了常用的EDA软件及开放性实验的实验教学方法,并通过实例阐述了EDA技术在开放性实验中的应用。关键词:EDA ;开放性实验;仿真

为了充分利用实验室资源,让学生能够自主选择实验的内容与时间,从而激发学生做实验的兴趣,提高学生思考问题、分析问题、解决问题的能力,近几年各高校都开设了开放实验。我们从2006年也开设了开放实验,开放实验由于学生可以自选题目,这对实验室的设备提出了很高的要求,但利用EDA设计实验电路,仿真实验结果,克服了实验设备不足的矛盾。“EDA”是Electronic Design Automation(电子设计自动化)的简写,是能够帮助人们设计电子电路或系统的软件工具。EDA是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。目前进入我国并具有广泛影响的EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类。利用EDA工具,可以从概念,算法、协议开始设计电子系统,从电路设计,性能分析直到IC版图或PCB版图生成的全过程均可在计算机上自动完成。EDA代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片的适配编译和编程下载,这被称为数字逻辑电路的高层次设计方法。下面以一个七段数码管的驱动为例,说明EDA设计的实验方法。1.实验教学方法

本实验是作为本科生的选修课,对全校电信、电子电气、自动化专业同学开放。做为模拟电路、数字电路、通讯原理、EDA软件等理论课的后续课程,学生具备了一定的相关理论知识。实验目标的实现都要经过一番努力才能达到,因此在实验的安排上不能简单地限定实验时间和固定内容,必须采取全面开放式和自主式的实验教学方法。实验进程包括初始阶段和提高阶段。

(1)初始阶段 要求教师先讲解操作步骤,给出程序清单,使实验按着先简单后复杂的顺序进行。(2)提高阶段 要求同学完成较为复杂的应用和算法编程,并独立完成实验内容。对于能力强的同学可以根据自己的兴趣来完成自己的题目。研究生可以结合自己的课题,利用实验室的资源完成课程的内容,最后写出一份完整的总结报告。

(3开放实验时,采用仿真实验和仿真设计与硬件实验相结合的方法,EDA的元件库提供了比实验室种类齐全的仪器、仪表和几千种元器件可供使用,利用图形方式创建电路,软件界面直观、操作使用方便,且容量极其丰富的元器件库,还可根据器件的发展随时扩充,使用时可直接调用和修改元件及参数。学生可用EDA先在计算机上模拟设计所选题目的内容,由于仿真设计元件连线简单,参数修改方便,任何一种设计方案都可以尝试,在对硬件电路设计方案进行仿真过程中,验证设计方案正确性与否,从而得到更加合理可行的实现方案。同时根据仿真结果,可对设计方案进行修改和调整,分析各元件参数对整个数字电路的作用与影响,利用仿真电子仪器仪表,按照设计可完成常规的瞬态分析、稳态分析和时域分析等多种电路分析方法,辅助学生完成对电路原理到电路硬件设计的分析,可以直接观察各子系统的波形及整个电路的实验结果,如果有错误,系统软件会有相应的提示。这样经过反复比较、反复分析、反复修改的过程,最后再用硬件完成开放实验的设计。实验时利用EDA仿真设计改变了传统的基于电路板的设计方法,提高了设计效率,掌握了用计算机软件设计、应用的方法,提高了实验的安全性、自诊断性、直观性、综合性、重组性和信息化等特点。根据实验模块间纵向发展和横向联系,进行组合调整,建立网络化的实践教学平台,每个实验室配备计算机及网络、常用仪器设备、相关实验装置等,充分提高了实验室的资源利用,实现理论与实践的紧密结合。2.实验实例:

设计一个七段数码管的译码器并下载到ispLSI1016中,验证其功能是否正确。输入在A,B,C三个按钮开关的控 制下,经ispGDS14,到译码器使输出a1,b1,c1,d1,e1,f1,g1驱动七段数码管为相应的数字。VHDL源程序:library ieee;

use ieee.std_logic_1164.all;entity liu1is port(datain:in std_logic_vector(2 downto 0);

led:out std_logic_vector(6 downto 0));end;architecture liu1_ architecture of liu1 is begin process(datain)begin case datain is

when “000”=>led<=“0111111”;

when “001”=>led<=“0000110”;

when “010”=>led<=“1011011”;

when “011”=>led<=“1001111”;

when “100”=>led<=“1100110”;

when “101”=>led<=“1101101”;

when “110”=>led<=“1111101”;

when “111”=>led<=“0000111”;

when others=>led<=“1111111”;end case;end process;end arch;测试向量程序: module z1

c,x=.c.,.x.;datain_0_,datain_1_,datain_2_ PIN;led_0_,led_1_,led_2_,led_3_,led_4_,led_5_,led_6_ PIN;TEST_VECTORS([datain_0_,datain_1_,datain_2_]->[led_0_,led_1_,led_2_,led_3_,led_4_, led_5_,led_6_])[0,0,0]->[x,x,x,x,x,x,x];[0,0,1]->[x,x,x,x,x,x,x];[0,1,0]->[x,x,x,x,x,x,x];[0,1,1]->[x,x,x,x,x,x,x];[1,0,0]->[x,x,x,x,x,x,x];[1,0,1]->[x,x,x,x,x,x,x];[1,1,0]->[x,x,x,x,x,x,x] [1,1,1]->[x,x,x,x,x,x,x];END 2 仿真结果: 程序下载:

写可编程数字开关器件ispGDS14的设计源文件(在TC下或在MS-DOS EDIT下)

device = ispgds14 PIN 6 = PIN 12 PIN 8 = PIN 16 PIN 9 = PIN 11

存,存时起名 *g.gds ,并注意路经,看PDSGDS存在那里。

用ispGDS的汇编GASM对*g.gds进行编译,即在C:PDSGDS下,打入 GASM *g 回车,则自动生成下载文件 *g.jed 输出引脚

I/O1(16)→a1 I/O6(21)→b1 I/O3(18)→c1

I/O4(19)→d1 I/O5(20)→e1 I/O2(17)→f1 I/O7(22)→g1 输入引脚

I/O28(7)→A I/O29(8)→B I/O30(9)→C 下载

在Design→Down load 下,或双击 IDCD 注意,实验板上按键松开为“1” 下面我们介绍PDS上设计。双击PDS图标

3.结论

[参考文献]:

[1] 王锁萍.龚建荣等.电子设计教程.成都:电子科技大学出版社,2000.2 [2] 潘松,黄蛀生.EDA技术实用教程.北京:科学出版社.2002.10.[3] 曾繁泰,李冰,李晓林.EDA工程概论[M].北京,清华大学出版社,2002.[4] 蒋卓勤,邓玉元.Multisim2001及其在电子设计中的应用[M].西安:电子科技大学出版社,2003.[5] 徐志军等.大规模可编程逻辑器件及其应用[M].电子科技大学出版社.[6] 符兴昌.EDA技术在数字系统设计分析中的应用[J].微计算机信息, 2006, 5-2: 267-269

作者简介:周云波(1965~),女,高级实验师。

7.数字电路教学方法改革探索 篇七

目前的教学中, 课堂讲授仍是主要形式。课堂讲授中, 教师始终处于主导地位, 教学过程中普遍地存在教授的内容多、启发学生思维少的现象。课堂上的一言堂, 使学生的学习产生依赖性, 学得不灵活, 从而丧失了学习兴趣。因此, 为了充分调动学生的学习主动性, 为了培养学生的创造能力和开拓能力, 传统的“灌入式”的教学方法必须改革。

二、改革的可行性

现代大学生思想活跃, 欢迎灵活、启发式的教学方法。实践证明:对于具有较好学习基础的大多数学生, 经过在教师指导下的自学, 通过学生自我探索而学到的知识记忆扎实、理解深刻, 这样学生把学习变为主动的追求而不是被动的负担, 自学能力更加提高, 学习兴趣更加浓厚。

三、精讲与自学相结合

教学过程是学生在教师引导下学习前人知识, 认识客观事物, 开发智力, 培养能力的过程。基于此种认识来组织教学有利于更好地发挥教师的主导作用和学生的主动性。

首先根据教学内容及其内在联系、重点和难点, 确定需要课堂精讲和学生自学的内容。教师要做到精讲, 必须对讲授的内容深刻理解, 以饱满的热情去讲课, 做到动之以情, 晓之以理, 使感情的交流和知识的交流相结合, 力争讲深讲透, 达到最佳的教学效果。

自学前先发给学生自学指导提纲, 提纲中有对自学部分内容的要求、达到的目的, 还要有思考题启发学生思维, 引导学生在比较重要的内容上深入钻研。有的教学内容讲课与自学不能孤立地进行, 要相互配合。如基本逻辑门电路部分, 教师讲与门、或门、非门电路, 然后讲清组合逻辑的分析方法, 让学生自学组合逻辑门电路;触发器部分由教师讲授R-S触发器和主从J-K触发器, D触发器和T触发器等内容留给学生自学, 对寄存器和计数器各只讲一种, 对译码器、编码器等只讲清它们的逻辑功能, 详细的内容让学生自学和讨论。

课堂讲授、学生自学, 必须与课堂讨论相结合。课堂讨论要有计划有准备地进行, 每个学生带着问题进行自学, 对自学的问题有选择地加以讨论。每个讨论题都找出自愿发言人。课堂讨论可由学生 (如科代表) 主持, 教师与学生一起参加讨论, 最后由教师作总结。学生在教师的细心指导下发表自己看法, 向别人思想挑战, 独立地进行思维, 在同学间自由地进行智力竞争和知识探索, 而教师也不惧怕自己的观点受到挑战。在课堂讨论中, 师生共同研讨学术问题, 造成一种新的平行的学术之风。通过课堂讨论, 教师能及时收到教学反馈信息, 对讲授内容和自学的要求就可以进行及时的调整和补充。

四、结束语

在改革传统教学方法方面, 虽然只在几届学生中做了尝试, 但是从教学质量分析中可以看出, 按此种方法教过的学生与以往的学生相比, 无论在学习主动性、思维的开放性还是在独立思考、自学、分析和解决问题的能力方面都有明显提高, 这就表明精讲与自学相结合的教学方法是值得提倡的。

参考文献

[1]李方.后现代教学理念探微[J].教育研究, (2004.11) ;

[2]袁维新.教学交往:一个现代教学的新理念[J].上海教育科研, 2003年第4期;

8.双语教学在数字电路教学中的应用 篇八

关键词:数字电路;双语教学;教学手段

1.引言

随着社会经济发展模式的多元化,用人单位对高校毕业生的实践能力和综合素质的要求越来越高,对掌握专业知识又掌握专业外语的具有国际竞争力的工科电子类人才的需求日益增长 [1 ]。双语教学在高等学校本科人才培养中的重要作用不言而喻,双语教学是国际型人才的关键之一,在培养学生的实践能力、运用外语的能力以及综合素质方面发挥着重要作用。教育部2001年4号文件要求各高校积极推广使用英语等外语进行专业课教学,以培养高素质、复合型人才,实现我国高等教育的可持续发展 [2 ]。自教育部2001年4号文件颁布后,教育部每年陆续委派高等学校基础课教师到美国、英国、澳大利亚等发达国家进行“Teach Science in English”培训,学习美、英、澳等发达国家的高等教育的先进经验和教学方法,目前已有数千名教师学成回国,正在承担着高等教育基础课的双语教学工作。笔者于2006年以《教育部高校基础课教师双语教学出国研修项目》到美国加州大学圣地亚哥分校(University of California, San Diego, UCSD)学习了2个学期,并于 2007年开始对数字电路课程开展双语教学,结合相关院校的经验进行了双语教学探索与实践,取得较好效果。

2.双语教学定位

高等教育基础课程采用双语教学已成为现代教育的热点之一。根据我校的办学特色,树立“以学生为本,知识、能力与素质协调发展”的教育理念,坚持“以能力为核心,教学方法创新、教学手段多元化”为双语教学观念。以“厚基础、重实践、强能力、高素质”的应用型人才培养模式为目标,强化外语应用能力训练,构建了具有黑龙江大学特色的“过渡型双语”教学模式。

3.数字电路双语教学教材选取

数字电路课程是一门实践性较强的电子类专业基础课程,承担着学生从基础课向专业课过渡的作用。目前各种国外高校优秀教材的影印版的数字电子技术教材已达十余种,结合我校电子工程各专业本科生的实际情况,我们选用了电子工业出版社的《Digital Fundamentals(10th Edition)》。 该书是国外优秀教材,与其他同类教材相比,写作风格简约,内容由浅入深,工程实例与习题丰富。

4.数字电路课程双语教学的多元化教学手段

(1)充分利用多媒体技术

在多媒体家喻户晓的今天,多媒体计算机辅助教学已经很普遍。我们也在数字电路双语课程教学中采用了多媒体教学手段 [4 ]。本课程中图形、表格、公式多而复杂,如真值表、卡诺图、TTL、CMOS电路图、逻辑部件内部结构图、芯片工作时序图等,为提高授课效率,须采用多媒体技术。此外,还可增加工程实际例子,增大课堂信息量,便于学生理解,课堂效果好。

(2) 充分利用电路仿真软件

数字电路课程是一门实践性很强的课程,注重工程实际应用。为此,在课堂教学中引入具有强大分析、仿真电路功能的仿真软件进行电路仿真,可获得多重目标的综合成果 [5 ]。例如,在讲解组合逻辑电路中的数据选择器(Mulitiplux)时,通过电路仿真设计软件Multisim的仿真结果,能够简单清晰、形象化地给出电路分析的结果,便于学生理解。再如在时序电路中计数器的讲解过程中,将交通灯设计电路用Multisim进行设计与仿真,设计步骤与仿真结果更加直观、精确、可靠、更具有实用性,有助于提高课堂教学质量。

(3) 采用互动式教学方法

在每次课堂教学中采用“提问—回答”交互式方法,将教学内容由前及后、由浅入深的引入,使学生充分理解所讲内容是解决什么样的实际问题,引导学生进一步思考,鼓励学生提出问题和讨论问题。此外,在教学过程中根据课堂教学情况进行现场提问,鼓励学生主动参与,积极发言,并鼓励教学過程中随时提出问题,说出自己的想法。这样视学生为教学主体,自始至终参与课堂教学,能够体现享受教育的充分权力,有利于训练其英语实际交流能力,提高学生的积极主动思考能力,并且便于教师及时检查其听课效果。

(4)采用项目设计讨论教学法

根据学科特点,预先将要讨论的问题发给学生,使其有充分时间、利用互联网、图书、期刊等资料准备,组织学生分组进行1~2次课堂项目设计讨论,学生在充分各抒己见的同时,还可与老师和同学直接探讨问题,形成各组对同一设计问题各具特色的设计方法与结论。这样,不但能够调动教师和学生的课堂互动与交流,营造学术探讨的学习气氛,而且能够提高学生自主学习和独立思考的良好习惯,激发主动学习的潜能、培养创新精神。

5.数字电路课程双语教学的考核方式

我校数字电路双语课程的考核更加注重学生学习过程的考查。

(1)平时作业:采用全英文模式,平时作业情况占总成绩的10%。平时作业以书后习题为主,目的是督促学生认真阅读教材、充分理解所学内容。

(2)项目设计报告:为配合项目设计讨论课堂教学,安排设计的题目,项目设计报告及讨论情况占总成绩的20%。要求学生完成项目设计原理、步骤、所用器件、验证方法等内容,并用英文完成,训练其专业知识的英文书面表达能力。

(3)平时测验:在教学管理过程中,除期末考试外,安排2次测验,测验的成绩共占总成绩的10%。测验试卷采用全英文命题形式,允许学生携带手写复习总结材料。

(4)期末考试:期末试卷采用全英文形式,期末考试的成绩占总成绩的60%。考试内容与中文试卷难易程度相同,评判标准也相同。

6.结语

从2007年至今,我校已在7届电子工程学院的学生中实施了“数字电路”双语教学。每一届我们都对学生进行了问卷调查,从问卷调查可以看出学生对教材先行性、授课方式、考核方式认可比较一致,大部分学生都认为教学效果很好,收获较大,认为在正确理解和领会课程内容的基础上,有助于锻炼学生英文科技文献阅读和写作能力。而个别学生反映看不懂英文教材,听不懂教师讲授内容,其主要原因是英语水平问题。教学实践表明,有选择地面向部分学生进行双语教学,不但有利于提高教学质量,也有助于提高科技英语的阅读能力、写作能力及学生的综合素质,真正做到了因材施教。双语教学为我们架设了一架通向外语专业体系的桥梁,有利于中西方文化的交流和现金科学技术的推广,但还需在教材和配套教辅材料建设、师资培训、教学手段改进等方面进一步做工作。

参考文献:

[1]常弘,刘东林.专业基础课双语教学的实践与探索[J].理工高教研究,2002(10):114-115.

[2]李春茂,巫跃凤,刘玉.中国高校双语教学的进展研究[J].高校教育管理,2007(9):70-76.

[3]贺利芳,张刚,周围.关于高等院校专业课程双语教学的探讨[J].高等教育研究,2007(9):54-55.

[4]史庆军.EWB在数字电路仿真分析中的应用[J].电子工程师.2010(12):41-42.

上一篇:对管理沟通的认识下一篇:集贤煤矿机电运输系统工作总结