EDA课程设计题目_图文

2024-08-17

EDA课程设计题目_图文(共8篇)

1.EDA课程设计题目_图文 篇一

CorelDraw 课程设计任务书

一、课程设计的目的

其任务是通过课程设计教学活动,使学生巩固和加深对 Coreldraw 设计课程理论知识的理解,加强学生对软件操作能力, 设计制作能力,为学生将来就业岗位工作打下必须的基础。

二、课程 设计 题目:系列插图设计

三、课程设计的任务(任选其一 :风景插图

四、课程要求: 标题用三号宋体加粗字体,内容用四号宋体字体。课程设计内容包括:

1、封皮

2、《 coreldraw 课程设计》任务书

3、课设理念(此次设计的思想

4、设计流程(要求每作一步写步骤,下面附效果图一张

5、课程设计总结

6、设计作品

五、课程设计 项目 的 评 定考核方法

课程设计根据课程内容与要求,对学生完成设计的情况,采取 优、良、中、不及格的方法进行评定。

六、课程设计总结

对此次课程设计内容进行客观总结, 从中吸取经验,总结不足, 为下 一次的课程设计奠定经验不断完善,更好的完成教学任务。

设计理念

这是一张圣诞节卡片, 在寒冷的冬天,在雪花飘落的季节里,我们迎 来了最盛大的节日, 圣诞树也是不可缺少的东西, 圣诞树上挂满了各 种各样的礼品, 将会给小朋友带来好运, 小雪人更是带来了祥和之气, 预示着我们又将度过一个祥和而又盛大的节日, 希望每个人都圣诞节 快乐。

设计流程

设计的最终效果图如下:

1、按快捷键【 ctrl+N】新建一个页面。

2、用矩形工具画一个矩形,再设置边角圆滑度,再填充线性渐变

3、用手绘工具制作雪花, 然后在复制, 然后再 ctrl+G群组, 制作 出图中雪花的效果。

4、利用基本形状工具里的星形工具画出图中的星星。

5、利用手绘工具制作圣诞树。

6、再将圣诞树复制,将其渐变填充,用手绘工具再画一个树根, 再将之前画的东西分散的放在上面。再与之前的树重合后向左 移动一点。

7、利用椭圆工具、艺术笔工具、基本形状工具制作出小雪人和月 亮。

8、利用文本工具写出图中的字母。

9、最后将它们组合在一起得到最终的效果图。

10、按【 ctrl+S】保存好文件。设计心得

《 Coreldraw 》看似简单而又复杂的一门课程,是用于平面设计、排 版。编辑图像和制作矢量动画的行业标准软件,它融合了绘制图形、编辑位图、文件转换等功能,具有友好的操作界面。

经过近一学期对 《 Coreldraw 》的学习和掌握, 对计算机 绘图方面应 用有了很大的提高。掌握了很多关于《 Coreldraw 》的知识,关于对 象选择在 CORELDRAW 中选择工具只有一个,看似简单,学问大着呢, 有以下方面: 1.按空格键可以快速切换到“挑选”工具

2.按 shift 键并逐一单击要选择的对象, 可连续选择多个对象用 “挑 选”工具单击最前面的对象,直到选定所需的对象。

3.圈选若干个对象:利用“挑选”工具对角线拖动鼠标,直到所有 对象都呗圈选框包围住。

4.圈选未被选框完全包围:单击“挑选”工具。按 alt 键,沿对角 线拖动圈选框直到把要选定的对象完全包围住。

5.选定隐藏在一系列对象后面的多个对象:利用“挑选”工具在一 系列对象中单击要包括在选定对象中的最前面的对象。按住 alt+shift键,然后单机下一个对象将它添加到选定的对象中。

6.取消所选对象(一个或多个 :按 esc 或在工作区空白处单击。7.按 shift 多选时,如果不慎误选,可按 shift 再次单击误选对象 取消之 8.不停地按 TAB 键,会循环选择对象。9.按 SHIFT+TAB 键,会按绘制顺序选择对象。

10.单击时按住 ctrl 键可在群组中选定单个对象。单击时按住 alt 键 可从一系列对象中选定单个对象。单击时按住 alt+ctrl键可从群 组对象中选定单个对象。

11.选定全部对象:执行下面一项操作 : 单击 “编辑”、“全选” “对象” 或者双击“挑选”工具。

12.选定全部文本,单击“编辑” “全选” “文本”。

13.选择隐藏的锁定对象:使用“挑选”工具选择对象,单击 alt 键 以选择隐藏在其它对象下面的锁定对象,锁定的对象将有一个锁 状的选择柄。

14.选择多个锁定的对象,使用“挑选”工具选择锁定的对象,单击 shift 键以选择附加的对象。不能同时圈选未锁定的对象和锁定 的对象。

《 CORELDRAW 》中还有很多的绘制基本图形的技巧。

1.绘制正方形、圆、选择矩形 /椭圆工具,按住 ctrl 键,拖动左键 绘制,绘制完毕,注意先松开 ctrl ,再放开左键。

2.以起点绘制正方形、圆。选择矩形 /椭圆工具,同时按住 ctrl 和 shift 键,拖动左键绘制,绘制完毕。注意先松开 ctrl 和 shift 在放开左键。

3.绘制正多边形和绘制矩形、圆相似, 不过, 要先右击多边形工具, 选“属性” ,设置多边形边数,形状。

4.双击矩形工具,可创建和工作区相同大小的矩形,以后,可作填 充作为图形背景。

5.从中心绘制基本形状,单击要使用的绘图工具。按住 shift 键, 并将光标定到要绘制形状中心的位置,沿对角线拖动鼠标绘制形 状,先松开鼠标键以完成绘制形状,然后松开 shift 键

6.从中心绘制边长相等的形状,单击要使用的绘图工具,按住 shift+ctrl键,光标定到要绘制形状中心的位置,沿对角线拖动 鼠标绘制形状, 松开鼠标键以完成绘制形状, 然后松开 shift+ctrl键。

在学习《 coreldraw 》的课程中,我学到了很多知识,这只是其中的 一点点而已, 学习了 《 coreldraw 》 , 才能发现它是多么的 “绚烂多彩” , 并不是那么的简单的。

总之, 《 coreldraw 》 为我们得绘图提供了更简单、更快捷的绘图方法, 学习了《 coreldraw 》是我在平面设计专业中的计算机绘图水平得到 了很大的提高,学习好《 coreldraw 》是非常必要的,我还会更加努 力学习的,熟练地掌握好《 coreldraw 》这个软件。

2.EDA课程设计题目_图文 篇二

教学目标 知识与技能: 理解程序设计的顺序结构基本思想、掌握顺序结构语句特点。过程与方法: 培养用算法分析问题的能力能够使用顺序结构编写简单的程序解决具体问题。情感态度与价值观: 体会用结构化方法解决数学问题的便捷性。明确结构化在程序设计中的重要作用。激励尝试使用多种方法解决问题。培养良好的编程习惯和态度。教学重点: 理解程序设计的顺序结构基本思想。教学难点

顺序结构实现语句的格式和功能。

编写简单的程序的过程。变量的特点。教学方法

任务驱动法、直观演示法、师生互动法 教学过程

一、新课导入

师:随着计算机和网络的普及,“编程”这个词汇越来越被大家熟悉。有时候一说起XXX 会编程序,都觉得这人很牛。编程真的是这么深不可测的事情么?非也非也,实际上编程很简单。它简单到...简单到就像一个游戏!师:运行游戏电灯小人。介绍游戏规则和玩法,展示小人点灯过程。或安排学生上台试玩游戏!生:认真观看教师游戏。

师:通过游戏,大家可以看到小人点灯的过程实际上是按照,从左到右,从上到下的顺序进行的,中间没有分支,没有重复。这种结构就是我们今天向大家介绍的顺序结构。

设计意图: 展示游戏运行过程,引起学生的好奇心,探索新鲜事物欲望。更让学生认识到编程并不复杂,引入本课主题——顺序结构。联系实际,拉近程序与生活的距离。

二、展望新课

师:今天同学们要设计的程序来源于一堂体育课的游戏。

A B

有一次在上体育课的时候, a 同学想和 b 同学做一个换球的游戏,同学们能不能帮他们出出主意?(如 a 原来拿篮球, b 原来拿排球,交换后, a 拿排球, b 拿篮球 游戏规则:要求每次交换只允许每人手中最多有一个球。1.顺序结构的第一次亲密接触: 1.依照人的正常逻辑,必有学生想到直接互抛法来交换两个球的方法。分析算法,引出程序

算法 1 直接互抛法: Step1 : a(或 b 拿篮球 Step2 : b(或 a 拿排球 step3 : a 将篮球(或排球给 b step4 : b 将排球(或篮球给 a step5 :结束

生:两个学生先用简洁语言描述交换的过程,并演示。设计意图: 以游戏形式展开讨论,学生的兴趣被调动起来。限定交换规则为下面的算法 2 和算法 3 做铺垫。

师:算法1程序可描述为: Dim a as single Dim b as single a=1 b=2 a=b b=a print a,b 师:请同学们对比算法 1 与算法 1 程序,你能猜猜程序每一行语句的含义吗?(提示 1 代表篮球, 2 代表排球, single为整型的意思

程序界面

分析程序设计的过程的一般步骤:

1.数据类型说明部分。2.数据的输入部分。3.数据的处理部分。4.数据的输出部分。算法 1 程序完善如下: …… a = 1 b = 2 Print “交换前 :a=“;a, ”b=“;b a = b b = a Print ” 交换后 :a=“;a, ”b=“;b 让学生理解记忆,并将程序写到第一次亲密接触中运行程序不正确,设下疑点。生:输入代码(一定学生自己手动输入,否则无法了解编程的完整过程 [提问]:程序运行结果为什么会和我们交换的结果不一致呢? 预测:学生运行程序可能出现的情况: 1.程序正确运行,但无法实现正确交换。2.程序无法正确运行,出现编译错误。

教师应针对第2种情况予以说明,程序代码输入是否有误,输入法状态是否切换正确。

变量的特点:每个变量占用一个内存空间,有新的内容被送到这个变量中,旧的内容就被冲刷掉了。

师:分析变量在程序运行中的过程,使得学生清晰理解。

结论:程序运行结果不能满足要求,直接互抛法程序不成功。设计意图

让学生对程序的格式有一个初步的印象,增强学生对程序编写的信心。算法 1 是不符合游戏规则的算法,因此直接给出程序,类似于语文中倒叙的方式,然后再分析。引入自然,加深印象,学生可以在默写的过程,理清程序的结构。激励教学法,使学生对学习充满期望和信心

顺序结构的第二次亲密接触: 师:编写程序贵在有创新,而创新的关键就是算法,一种新的算法不亚于建造一种新的机型。引导学生进行算法2探讨。

淮南市第三批学科带头人评选公开课材料 生:学生分析每一行程序对应的含义,学生操作运行程序,观察结果做随堂笔记学生立刻发 现程序运行结果不正确。学生简洁说明交换过程,并模拟演示 算法2 “ 第三人 ” 交换法: Step1 : a(或 b 拿篮球,b(或 a 拿排球 step2 :输出交换前 a,b 的值 step3 :第三人 a(或 b step4 :第三人 a(或 b step5 : b(或 a第三人 Step6 :输出交换后 a,b 的值 Step7 :程序结束 师:纵观整个算法,第三人扮演着什么角色? 小结:第三人就像一个邮递员,它是 a 和 b 换球的中转站 模仿第一次亲密接触的程序,让学生将算法 2 程序写到第二次亲密接触中(教师随堂辅导)算法 2 程序如下(传递变量 c : Dim a as single Dim b as single a = 1 b = 2 Print ”交换前 :a=“;a, ”b=“;b c = a a = b b = c Print ”交换后 :a=“;a, ”b=“;b 师:分析变量在程序运行中的过程,使得学生清晰理解。-6-淮南市第三批学科带头人评选公开课材料 结论:小小“邮箱员”,结论:小小“邮箱员” 交换真方便 学生思考,并回答学生自己模仿算法 1 程序编写算法 2 程序 学生观看教师演示,并 思考了解中间变量作用,加深对程序的理解。顺序结构的第三次亲密接触: 顺序结构的第三次亲密接触: 游戏规则 2 :允许某一瞬间有人可以同时拿着两个球 师:有了前面两个程序编写的经验,同学们已经基本掌握了程序编写的过程。即分析问题,寻找算法,计算机识别模式转化,及程序语言编写程序的过程。同学们还能不能带给我们更 多的惊喜呢? 算法较复杂,可引导学生从模拟的算法中,将提示的交换程序补充完整。算法 3近距离互换: …… a=1 b=2 Print “交换前 :a=”;a, “b=”;b a=a+b b=a-b-7-淮南市第三批学科带头人评选公开课材料 a=a-b Print “交换后 :a=”;a, “b=”;b 师:分析交换过程 a,b 的值 学生回答 设计意图: 既是复述程序设计的过程,让学生记忆一个程序设计的概念,又能扩大学生的思维方式 算法复杂,学生可能无法

想到,可以让学生描述程序在交换过程中变量 a,b 的值理解程序 的运算过程。

3.EDA课程设计报告 篇三

北京科技大学——自1105班——王玮——41151133

EDA课程设计报告

2013年12月

北京科技大学——自1105班——王玮——41151133

一、课程目的

1.学习和了解EDA技术的内容、开发软件以及发展过程。2.熟练掌握Multisim软件的功能使用和仿真工具的应用。3.学会使用Multisim软件设计电路、仿真实现一些简单的功能。4.根据所设计的电路,在Multisim 计算机软件开发环境下,详细介绍如何自动实现电路图的绘制、仿真及测试故障诊断

二、设计内容

利用Multisim设计一个四路彩灯控制器。它要求系统启动后自动从初始状态按规定程序完成3个节拍的循环演示。第一节拍:四路彩灯从右向左逐次渐亮,;第二节拍:四路彩灯从左向右逐次渐灭;第三节拍:四路彩灯同时亮后,同时变暗,进行4次。

三、设计原理

根据系统要求,设计系统硬件框图如下图所示。

1.信号发生器

信号发生器提供频率为100赫兹的脉冲。

北京科技大学——自1105班——王玮——41151133 2.四进制分频器

分频器可由各种类型的四进制计数器构成。在此,采用74LS74N中的D触发器,连接成下图所示的四进制异步减法计数器。

3.三进制节拍控制器

此系统有3个不同的工作节拍,是由状态(Q1、Q0)的三种编码(10、0l、11)表示的。选用74LS74N中的D触发器和74LS00D中 的与非门构成下图所示的三进制计数器。

4.节拍程序控制器

双相移位寄存器是74LS194,是产生移动灯光信号的核心器件。下图是74LS194的逻辑图和功能表。该寄存器由4个RS触发器及它们的输入控制电路组成。具有并行寄存、左移寄存、右移寄存和保持四种工作模式。为清零端,低电平有效;CLK为上升沿触发,SL、SR分别为左移和右移串行输入端;S0、S1为两个控制输入端,它们的状态组合可以完成保持、右移、左移、并行输入四种控制功能。当S1=0,S0=0时电路保持原来的状态;当S1=0,S0=1时,数据从右移输入端SR送入寄存器;当S1=1,S0=0时,数据从左移输入端SL送入寄存器;当S1=1,S0=1时,数据从DCBA并行输入端预置数。

北京科技大学——自1105班——王玮——41151133

四、系统调试修改

在程序主界面内创建如下图所示的仿真电路,其中的过程图示也见下图。

图1 未仿真时的电路

北京科技大学——自1105班——王玮——41151133

图2 仿真时的电路

图3 仿真时的示波器

北京科技大学——自1105班——王玮——41151133

五、收获及心得

通过本次EDA课程设计,我对multisim这个软件有了进一步的认识,同时通过老师的课上讲解和课下查阅资料,我对EDA的了解更深一层次,对它的发展历史和一些常用的开发环境和软件有了较为系统的认识。Multisim 的仿真方法切合实际, 所选元件和仪器与实际应用非常相近, 均可直接从屏幕上选取, 而且仪器的操作开关、按键与实际仪器极为相似, 改变了传统基于电路板的设计方法, 从而大大缩短了设计时间,降低实验成本, 提高了效率。

4.EDA课程设计心得体会 篇四

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

PLC实训心得

和学别的学科一样,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,每组有一个题目。我们做的是一个由三个部分组成的浇灌系统。由于平时大家都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做。但通过各方面的查资料并学习。我们基本学会了PLC设计的步聚和基本方法。分组工作的方式给了我与同学合作的机会,提高了与人合作的意识与能力。

通过这次设计实践。我学会了PLC的基本编程方法,对PLC的工作原理和使用方法也有了更深刻的理解。在对理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到PLC中的时候,问题出现了,不是不能运行,就是运行的结果和要求的结果不相符合。能过解决一个个在调试中出现的问题,我们对PLC 的理解得到加强,看到了实践与理论的差距。

通过合作,我们的合作意识得到加强。合作能力得到提高。上大学后,很多同学都没有过深入的交流,在设计的过程中,我们用了分工与合作的方式,每个人互责一定的部分,同时在一定的阶段共同讨论,以解决分工中个人不能解决的问题,在交流中大家积极发言,和提出意见,同时我们还向别的同学请教。在此过程中,每个人都想自己的方案得到实现,积极向同学说明自己的想法。能过比较选出最好的方案。在这过程也提高了我们的表过能力。

在设计的过程中我们还得到了老师的帮助与意见。在学习的过程中,不是每一个问题都能自己解决,向老师请教或向同学讨论是一个很好的方法,不是有句话叫做思而不学者殆。做事要学思结合。

通过本次设计,让我很好的锻炼了理论联系实际,与具体项目、课题相结合开发、设计产品的能力。既让我们懂得了怎样把理论应用于实际,又让我们懂得了在实践中遇到的问题怎样用理论去解决。

在本次设计中,我们还需要大量的以前没有学到过的知识,于是图书馆和INTERNET成了我们很好的助手。在查阅资料的过程中,我们要判断优劣、取舍相关知识,不知不觉中我们查阅资料的能力也得到了很好的锻炼。我们学习的知识是有限的,在以后的工作中我们肯定会遇到许多未知的领域,这方面的能力便会使我们受益非浅。

5.EDA课程设计——数字频率计 篇五

题目:数字频率计

姓名:Eric 班级:09电子x班 学号: 090104020xxxx 成绩:

(注:此文件应以同学学号为文件名)

一、设计题目及要求

1.输入为矩形脉冲,频率范围0~99MHz;

2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来;

3.单位为Hz和KHz两档,自动切换。

二、设计过程及内容 1.总体设计思路

总电路图主要有两部分组成,即测频电路和扫描电路。

图1 总电路图

图2 总电路图仿真波形

测频电路测量一秒钟内通过计数器的脉冲个数,将其送至扫描电路中显示。

2.主要模块实现方法(1)扫描电路

试验箱上共有8个数码管,但共用一个显示输入端,因此如要显示两位以上的数字,就必须使用扫描电路。其作用就在于不同的时间使不同的数码管显示当前输入的与其对应的数字,由于扫描的频率很高,带给人眼的感觉就是同时在显示。四个八选一数据选择器。扫描电路由一个八进制计数器、四个八选一数据选择器74151、一个七段译码器7448组成。

图3 扫描电路

图4 四个74151接法

图5 扫描电路仿真波形

(2)测频电路

测频电路由一个366进制计数器和计数换挡及寄存电路组成

图6 测频电路

图 7 测频电路仿真波形

在366进制计数器输入频率为366Hz的时钟信号,当该计数器通过366个脉冲,即经过时间一秒后366进制计数器的进位端输出高电平,将脉冲计数器置零,并控制储存寄存电路输出一秒内通过脉冲计数器的脉冲个数,以达到测量频率的效果,并且保证只显示最后结果不显示中间计数过程。

图8 366进制计数器

计数换挡及寄存电路由1个一亿进制计数器和20个门电路组合以及20个D触发器组成的寄存电路组成。

图9 计数换挡及寄存电路

因为要求测量0到99MHz的频率所以选用一亿进制计数器计脉冲的个数,置零端通过一个非门和366进制计数器的进位输出端相连,又要求使用5喂数码管,所以当计数器十万位的数为1时就换挡,换挡后将不显示后3位数,测试的单位由Hz变为kHz。

图10 一亿进制计数器

(3)换挡的实现

换挡电路由20个门电路的组合构成,20个电路组合的A端分别接一亿进制计数器的Q0到Q19即低五位,B端分别接一亿进制计数器的Q12到Q31即高五位,CO和NCO接一亿进制计数器的进位输出端。S端接寄存电路D触发器的出入端。

S=AC’+BC

图11 换挡门电路组合

(4)寄存电路

寄存电路由20个D触发器接成,输入输出端分别接换挡电路和扫描电路。CLK端接366进制计数器的进位输出端以实现对数据的存储和输出。

图12 寄存电路

三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意见和建议)(1)出现过的问题

在使用Max-Plus时在画图的初始阶段不知道如何旋转器件,仿真阶段endtime设置的过长,时钟脉冲周期设置的过小导致因器件延迟造成的仿真失效。在试验箱的使用过程中因没插跳线导致程序无法下载到芯片。

(2)对EDA课程设计感想

刚拿到题目是觉得无从下手十分躁,第二天思考了一天仍无法突破,也曾有所抱怨。当看到每个分立的模块仿真均正确而组合起来的总电路仿真效果十分混乱的时候感到极其的困惑,在老师的建议下把电路图下载到了试验箱里解决了这个问题。最后当自己的设计通过老师验收的时候心里无比的轻松喜悦……

应用软件MAX-Plus的使用大大减小了因在纸上画电路图的工作量,通过简单的电路设计,提高了我的独立思考能力,通过连结实验箱增强了我的动手能力,并延伸了我在课堂上学到的知识,此次课程设计让我认识到高新技术的快速发展和应用,让我看到了EDA技术功能的强大,也让我认识到掌握他们的重要性,同时也看到了自己的差距与不足,我知道只有今后自己努力学习,拓宽自己的知识面,才能更好的掌握这项技术,也才能适应社会的发展。

(3)意见和建议

6.燕山大学EDA课程设计数字跑表 篇六

要求:1 具有暂停,启动功能;

具有重新开始功能; 用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容 总体设计:

第一,对于要实现的暂停、启动和重新开始功能,需要有一个控制模块完成相关控制。第二由题意可知需要一个分频模块,将实验箱提供的频率转换为100HZ即数字跑表百分秒的频率。第三是计时模块,完成跑表的百分秒、秒和分钟的计时功能。第四由于实验箱提供的数码显示是扫描显示,这就需要一个选时模块。第五部分则是显示模块。详细设计过程:

根据要求,将设计分成五个模块:

1、控制模块:使跑表具有启动、暂停及重新开始的功能;

2、分频模块:将实验箱所提供的频率转换为设计题目所需要的100HZ的时钟脉冲;

3、计时模块:进行百分秒、秒、分的计时,并且将当前时间输出给选时模块;

4、选时模块:从计时器得到当前时间输出给显示模块;

5、显示模块:通过数码管显示时间。

总图如下:

仿真波形:

第一个模块:控制模块

控制模块主要运用了两个D触发器,输入到触发器的时钟信号CLK1频率为2.86Hz,对电路起到了防抖的功能。

START/STOP为启动暂停按钮,当跑表为START状态时CLK端为高电平,Q为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,Q为0,时钟信号不输出,从而实现开始和暂停的功能。与门可控制时钟信号是否被输出到下一级。

RESET端为全局清零按钮,接到控制模块和计时模块的清零端,负责将计数器清零。当RESET为低电平时,控制模块和总计数器模块清零,跑表重新开始工作。电路图如下:

仿真波形:

第二个模块:分频模块

为了将实验箱提供的1465HZ转换成实验需要的100HZ,我将74161接成15进制计数器,实现分频的功能,转换为100HZ的近似时钟信号。然后将输出的时钟接入到计时模块。电路图如下: 3

仿真波形:

第三个模块:计时模块

计时模块由一个100进制计数器和两个60进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。100进制计数器和60进制计数器均采用两个74160,100进制采用并行进位方式,60进制采用整体置数方式。从100进制计数器和60进制计数器这三个输出端分别接出八个端口(百分秒、秒、分的个位及十位分别由四个二进制代码表示),将当前时间代码输送给选时模块,以实现时间的选择和显示。(百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D.)电路图如下:

仿真波形:

100进制计数器(count100): 仿真波形:

60进制计数器(count60):

仿真波形:

第四个模块:选时模块

选时模块由四个八选一数据选择器74LS151和一个地址选择器74LS161构成。

地址选择器74LS161接入一个1465 HZ的时钟信号,使能端和清零端接高电平,使其循环工作,产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数CA,CB,CC,CD,即为数码管所要显示的数字的编码。同时,地址选择器74LS161产生一组循环地址码a、b、c,接到数码管的地址端,使其循环显示数字。

第一个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的最低位(H0A, H1A ,S0A,S1A, M0A, M1A), 第二个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的次低位(H0B,H1B ,S0B,S1B,M0B,M1B), 第三个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的第二位(H0C,H1C ,S0C,S1C,M0C,M1C), 第四个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的第一位(H0D,H1D ,S0D,S1D,M0D,M1D),通过这四个八位二进制数比较器74LS151选出同一组数(百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D)作为输出CA,CB,CC,CD,接到显示模块输入端。电路图如下:

仿真波形:

第五个模块:显示模块

显示模块采用BCD—七段显示译码器7448对实验板上数码管进行驱 动。由选时模块输出的显示数字编码CA,CB,CC,CD接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输入端ABCDEDG,从而显示出数据。电路图如下:

仿真波形:

三、设计结论

两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,学习到了很多EDA的实用功能,更重要的是锻炼了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,要多思考,多实践,才能真正把学到的知识用到实际中,而且我也深刻认识到通信专业在各个领域是多么有用武之地,更加使我有了学习深造的动力。

在设计的过程中遇到诸多问题,一个接一个,总结下来还是软件没有学深刻,出了问题也不知道如何排查,波形图一直找不到自己想看到的,后来经过问同学和自己的总结才知道这个仿真的时间要足够长,才能看到自己所需要的部分。让我知道做一件事之前的准备工作是多么重要,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。遇到问题才去翻书查资料,这些都是我以后要改进的地方。

7.EDA课程设计题目_图文 篇七

EDA课程设计报告

姓 名:马晨宏

学 号:050104020076 班 级: 05级电子信息工程3班 日 期:2007年11月12日

成 绩:

一、设计题目:智力竞赛抢答器

二、设计要求:.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟; 4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0,9,8…1,0;倒计时到0的时候,喇叭发出两秒声响。

三、设计内容:

1.设计方案:主持人控制总开关,主持人置高电平后,系统进入准备工作。有人抢答时,相应的二极管发光,同时数码管开始倒计时,且喇叭响两秒钟。当倒计时再次到0的时候,喇叭再响2秒钟。我设计的方案由五个高低电平控制相应的发光二极管,第六个用于主持人复位。由二极管控制数码管和其中一个喇叭响,再由数码管控制另一喇叭响。因此把整个课题分成四个模块:抢答器、倒计时器、计时器、秒脉冲生成器。

2.模块一:抢答器

I6为置零端,主持人控制,i1-i5由每位选手控制。o1-o5为发光二极管,主持人置低电平后,o1-o5都被置零。当主持人置为高电平时,抢答开始,成功者对应的二极管发光,通过与门将cp信号封锁,并输入到DFF中,则其他选手在按键时,输出不会有影响,则实现了一人抢答后,其他人不能再做答。若重新开始则主持人再按i6清零即可。

此模块的仿真波形如下:

仿真说明:

当i6为1时,即主持人按键以后,i5最先抢答成功,显示o5是1,使其对应的二极管发光,然后主持人清零,o5变成零,可以再次抢答。

模块二:十秒倒计时器

此十秒钟倒计时器是由74168组成的十进制减法计数器,它只保留预制置数端,CP信号端,计数输出端,其余的都置为0。Ldn是置零端,当它等于1的时候,74168有效,倒计时开始。当输出0、9、--1时,D触发器输出结果总是1,不影响CP信号。当输出从1到0时,D触发器到上升沿,输出结果为1,则CP信号被封锁。此时倒计时器保持在0不变。若要重新开始,则把Ldn置0即可。

此模块的仿真波形如下:

由图可见,Ldn为1的时候开始倒计时,输出结果0,9,8…0。最后保持0不变,符合题目要求。模块三:2秒计时器

如图将74160接成2进制计数器,输出端和CP用与门连接,当clrn输入0时o1—o4输出0;clrn输入1,CP脉冲通过,计数器开始计数。而计到2时,输出端通过与门使CP信号封锁,使计数器保持在二不变。此模块的仿真波形如下:

由图可见,当clrn为1的时候,开始计时。0、1、2、到2停止。当clrn被置零后,输出也被置零,则可以重新计时。

模块四:秒脉冲生成器

三个74160十进制计数器组成一个732进制的计数器,一个732HZ的脉冲分频成1S的脉冲给两秒计时器和十秒的倒计时器提供秒脉冲,当计数到732时三个计数器的ldn同时置零,重新开始计数。

此模块的仿真波形如下:

由图可见,一个732HZ的脉冲通过分频器被分频成1S的脉冲,给两秒计时器和十秒的倒计时器提供秒脉冲。2.总电路说明:

仿真波形图如下:

仿真图形说明:当S为1的时候,即主持人按键以后,i5抢答成功,显示ou5是1,对应的二极管发光。a、b、c、d、e、f、g数码管显示,倒计时开始。Ou6为1,喇叭响2秒钟。当倒计时结束时,ou7为1,喇叭再响2秒钟。当S再被置0的时,输出被清零,可以重新开始。

四、硬件实践结果

软件仿真正确后,把它下载到可编程逻辑器件中去,通过硬件连接,仿真正确,说明此软件设计合理。

五、改进措施

用按钮(需要加防抖动电路)和与门代替五个高低电平,会有助于实际应用。

六、心得体会

8.《EDA课程设计》教学大纲 篇八

1、设计一个能进行拔河游戏的电路。

2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、用数码管显示获胜者的盘数。

教学提示:

1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。教学提示:

1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号等控制器

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。教学提示:

1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、选择1HZ时钟脉冲作为系统时钟。

3、45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45秒、25秒、5秒定时信号(C,D,E),其输出状态控制相应的三色灯。主控电路可以由两个JK触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y和支干道三色灯r、g、y。

设计七 电子密码锁

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。教学提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯;

3、用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。

设计八 彩灯控制器

1、设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。教学提示:

1、彩灯显示的不同形式可由不同进制计数器驱动LED显示完成;

2、音响由选择不同频率CP脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、设计一个具有8位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、*挂机2秒后或按熄灭按键,熄灭显示器显示。教学提示:

1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生1,2,3。。共7个或14个音阶信号;

3、用指示灯显示节拍;

4、*能产生颤音效果。教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;

2、行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当量为0。01元/脉冲。

4、用LED显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉冲),则10分钟内的脉冲数应为500个。

2、用LED显示等候时间,两个数码管表示等候时间收费金额。

3、用加法器将几项收费相加,P=P1+P2+P3,4、P1为起价,P2为行车里程计费,P3为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、设计20秒、10秒定时电路。

2、电路输出为“正转”、“反转”、“暂停”三个状态。

3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

建议选用教材和参考书目

选用教材: 《电子技术实验指导书》,李国丽,朱维勇主编。中国科技大学出版社 主要参考书:《电子技术基础

模拟部分》(第四版),康华光主编,高教出版社

上一篇:描写草原的好句段下一篇:行政会上发言提纲格式